CN113235071B - 用于减少晶片背侧沉积的可变温度硬件及方法 - Google Patents

用于减少晶片背侧沉积的可变温度硬件及方法 Download PDF

Info

Publication number
CN113235071B
CN113235071B CN202110296810.6A CN202110296810A CN113235071B CN 113235071 B CN113235071 B CN 113235071B CN 202110296810 A CN202110296810 A CN 202110296810A CN 113235071 B CN113235071 B CN 113235071B
Authority
CN
China
Prior art keywords
inch
horseshoe
inches
substrate
extending
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202110296810.6A
Other languages
English (en)
Other versions
CN113235071A (zh
Inventor
康胡
伊斯达克·卡里姆
普鲁沙塔姆·库马尔
钱俊
拉梅什·钱德拉赛卡兰
阿德里安·拉瓦伊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN202110296810.6A priority Critical patent/CN113235071B/zh
Publication of CN113235071A publication Critical patent/CN113235071A/zh
Application granted granted Critical
Publication of CN113235071B publication Critical patent/CN113235071B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本发明涉及一种用于减少晶片背侧沉积的可变温度硬件及方法。用于化学沉积装置的处理调整套件,其中处理调整套件包括承载环、马蹄形物和垫片。马蹄形物具有相同的尺寸,并且垫片设置成具有不同厚度的组以控制马蹄形物相对于上面安装有马蹄形物和垫片的基座组件的上表面的高度。通过放置在马蹄形物上的承载环将半导体衬底输送到化学沉积装置的真空室中,使得最小接触面积支撑件从承载环提升衬底并且相对于基座组件的上表面以预定的偏移量支撑衬底。在衬底的处理期间,可以通过使用期望厚度的垫片来控制预定偏移量来减少背侧沉积。

Description

用于减少晶片背侧沉积的可变温度硬件及方法
本申请是申请号为201611177342.6,申请日为2016年12月19日,申请人为朗姆研究公司,发明创造名称为“用于减少晶片背侧沉积的可变温度硬件及方法”的发明专利申请的分案申请。
相关申请的交叉引用
本申请是于2015年12月17日提交的美国申请No.14/972,205的部分继续申请案,其全部内容通过引用并入本文。
技术领域
本发明涉及用于进行化学沉积并用于进行等离子体增强化学沉积的装置和方法。
背景技术
等离子体处理装置可以用于通过包括蚀刻、物理气相沉积(PVD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、脉冲沉积层(PDL)、等离子体增强脉冲沉积层(PEPDL)处理和抗蚀剂去除的技术处理半导体衬底。例如,用于等离子体处理的一种类型的等离子体处理装置包括含有顶部电极和底部电极的反应室或沉积室。在电极之间施加射频(RF)功率以将处理气体或反应器化学物质激发成用于处理反应室中的半导体衬底的等离子体。
发明内容
根据一实施方式,在化学沉积装置中有用的处理调整套件包括承载环、成组的三个马蹄形物和成组的三个垫片,其中(a)所述垫片具有上表面、平行于所述上表面的下表面、端壁、从所述端壁延伸的U形侧壁以及在所述上表面和所述下表面之间延伸的孔、具有相同厚度的第一组三个垫片和具有与第一组垫片不同的厚度的第二组垫片,(b)所述马蹄形物具有上表面、平行于所述上表面的下表面、端壁、从所述端壁延伸的U形侧壁、在所述上表面和所述下表面之间延伸的孔,以及在所述端壁中开口并且延伸小于跨越所述上表面一半的槽,所述孔具有与所述垫片的孔相同的直径,和/或(c)所述承载环具有上表面、平行于所述上表面的下表面、外侧壁、内侧壁以及从所述下表面延伸的三个对准销。
根据另一个实施方式,在化学沉积装置中有用的处理调整套件的垫片具有上表面、平行于所述上表面的下表面、端壁、从所述端壁延伸的U形侧壁以及在所述上表面和所述下表面之间延伸的孔。所述垫片优选地具有约0.8英寸的长度,约0.5英寸的宽度,具有约0.15英寸的直径的孔,以及0.0465英寸、0.0470英寸、0.0475英寸、0.0480英寸、0.0485英寸、0.0490英寸、0.0495英寸、0.0500英寸、0.0505英寸、0.0510英寸、0.0515英寸、0.0520英寸、0.0525英寸、0.0530英寸、0.0535英寸、0.0540英寸、0.0545英寸、0.0550英寸、0.0555英寸、0.0560英寸、0.0565英寸、0.0570英寸、0.0575英寸、0.0580英寸、0.0585英寸、0.0590英寸、0.0595英寸、0.0600英寸、0.0605英寸、0.0610英寸或0.0615英寸的厚度。
根据另一个实施方式,在化学沉积装置中有用的处理调整套件的马蹄形物具有上表面、平行于所述上表面的下表面、端壁、从所述端壁延伸的U形侧壁、在所述上表面和所述下表面之间延伸的孔,以及在所述端壁中开口并且延伸小于跨越所述上表面一半的槽。马蹄形物优选具有约0.8英寸的长度,约0.5英寸的宽度,约0.5英寸的厚度,所述孔具有约0.15英寸的直径,所述槽具有约0.19英寸的宽度和约0.25英寸的高度,所述孔与所述槽对齐,所述侧壁具有由半圆形区段连接的一对平行的直区段,所述半圆形区段具有从所述孔的中心测量的约0.26英寸的半径,所述孔具有从所述下表面延伸约0.16英寸的均匀直径部分和延伸到所述马蹄形物的所述上表面中的锥形部分,所述锥形部分形成具有约80°的角度的倒角,以及所述槽沿着所述端壁和所述上表面具有倒角边缘。
根据另一个实施方式,一种处理在化学沉积装置中的半导体衬底的方法包括(a)将承载环上的所述衬底输送到所述化学沉积装置的真空室中并将所述承载环放置在具有支撑所述承载环的成组的马蹄形物和垫片的基座组件上,所述马蹄形物具有接收从所述承载环的下表面延伸的对准销的孔,所述衬底通过所述基座组件上的最小接触面积支撑件被抬离所述承载环,使得所述衬底的下侧位于与所述承载环的上表面相距预定偏移距离处,以及(b)在所述衬底上沉积材料层,同时加热所述衬底并保持所述预定偏移距离,使得所述衬底上的背侧沉积最小化。
具体而言,本发明的一些方面可以阐述如下:
1.一种在化学沉积装置中有用的处理调整套件,所述套件包括承载环、成组的三个马蹄形物和成组的三个垫片,其中:
所述垫片具有上表面、平行于所述上表面的下表面、端壁、从所述端壁延伸的U形侧壁以及在所述上表面和所述下表面之间延伸的孔、具有相同厚度的第一组三个垫片和具有与第一组垫片不同的厚度的第二组垫片;
所述马蹄形物具有上表面、平行于所述上表面的下表面、端壁、从所述端壁延伸的U形侧壁、在所述上表面和所述下表面之间延伸的孔,以及在所述端壁中开口并且延伸小于跨越所述上表面一半的槽,所述孔具有与所述垫片的孔相同的直径;
所述承载环具有上表面、平行于所述上表面的下表面、外侧壁、内侧壁以及从所述下表面延伸的三个对准销。
2.根据条款1所述的套件,其中所述垫片包括具有不同厚度的至少十组。
3.根据条款1所述的套件,其中所述马蹄形物的所述孔向外到所述孔的上端呈锥型。
4.根据条款1所述的套件,其中所述承载环、马蹄形物和垫片由高纯度氧化铝制成。
5.根据条款1所述的套件,其中所述马蹄形物具有约0.8英寸的长度,约0.5英寸的宽度,约0.5英寸的厚度,其所述孔具有约0.15英寸的直径,所述槽具有约0.19英寸的宽度和约0.25英寸的高度,所述孔与所述槽对齐,所述侧壁具有由半圆形区段连接的一对平行的直区段,所述半圆形区段具有从所述孔的中心测量的约0.26英寸的半径,所述孔具有从所述下表面延伸约0.16英寸的均匀直径部分和延伸到所述马蹄形物的所述上表面中的锥形部分,所述锥形部分形成具有约80°的角度的倒角,以及所述槽沿着所述端壁和所述上表面具有倒角边缘。
6.根据条款1所述的套件,其中所述垫片具有约0.8英寸的长度,约0.5英寸的宽度,具有约0.15英寸的直径的孔,以及0.0465英寸、0.0470英寸、0.0475英寸、0.0480英寸、0.0485英寸、0.0490英寸、0.0495英寸、0.0500英寸、0.0505英寸、0.0510英寸、0.0515英寸、0.0520英寸、0.0525英寸、0.0530英寸、0.0535英寸、0.0540英寸、0.0545英寸、0.0550英寸、0.0555英寸、0.0560英寸、0.0565英寸、0.0570英寸、0.0575英寸、0.0580英寸、0.0585英寸、0.0590英寸、0.0595英寸、0.0600英寸、0.0605英寸、0.0610英寸或0.0615英寸的厚度。
7.根据条款1所述的套件,其中所述承载环具有:约15英寸的外径;约11.7英寸的内径;约0.1英寸的厚度;三个对准销,每个对准销具有约0.2英寸的长度、具有从所述下表面延伸约0.06英寸的均匀直径部分和延伸到所述对准销的自由端的锥形部分;以及延伸到所述内壁和所述上表面中的环形凹部,所述环形凹部由具有约11.9英寸的直径且从所述上表面延伸约0.03英寸的竖直壁和从所述内壁延伸约0.08英寸的水平壁形成。
8.一种包括根据条款1所述的套件的基座组件,其中所述基座组件包括三个矩形开口,其中所述垫片位于所述马蹄形物下方,并且所述承载环被支撑在所述马蹄形物上。
9.根据条款8所述的基座组件,其中所述基座组件包括定位销,所述定位销延伸穿过所述垫片的所述孔并部分穿过所述马蹄形物的所述孔,所述承载环的所述对准销延伸到所述马蹄形物的所述孔中。
10.一种在化学沉积装置中有用的处理调整套件的垫片,所述垫片具有上表面、平行于所述上表面的下表面、端壁、从所述端壁延伸的U形侧壁和在所述上表面和所述下表面之间延伸的孔,以及在所述上表面和所述下表面之间延伸的孔。
11.根据条款10所述的垫片,其中所述垫片由介电陶瓷材料制成,并且具有约0.8英寸的长度,约0.5英寸的宽度,具有约0.15英寸的直径的孔,以及0.0465英寸、0.0470英寸、0.0475英寸、0.0480英寸、0.0485英寸、0.0490英寸、0.0495英寸、0.0500英寸、0.0505英寸、0.0510英寸、0.0515英寸、0.0520英寸、0.0525英寸、0.0530英寸、0.0535英寸、0.0540英寸、0.0545英寸、0.0550英寸、0.0555英寸、0.0560英寸、0.0565英寸、0.0570英寸、0.0575英寸、0.0580英寸、0.0585英寸、0.0590英寸、0.0595英寸、0.0600英寸、0.0605英寸、0.0610英寸或0.0615英寸的厚度。
12.一种在化学沉积装置中有用的处理调整套件的马蹄形物,所述马蹄形物具有上表面、平行于所述上表面的下表面、端壁、从所述端壁延伸的U形侧壁、在所述上表面和所述下表面之间延伸的孔,以及在所述端壁中开口并且延伸小于跨越所述上表面一半的槽。
13.根据条款12所述的马蹄形物,其中所述马蹄形物由介电陶瓷材料制成,并且具有约0.8英寸的长度,约0.5英寸的宽度,约0.5英寸的厚度,所述孔具有约0.15英寸的直径,所述槽具有约0.19英寸的宽度和约0.25英寸的高度,所述孔与所述槽对齐,所述侧壁具有由半圆形区段连接的一对平行的直区段,所述半圆形区段具有从所述孔的中心测量的约0.26英寸的半径,所述孔具有从所述下表面延伸约0.16英寸的均匀直径部分和延伸到所述马蹄形物的所述上表面中的锥形部分,所述锥形部分形成具有约80°的角度的倒角,以及所述槽沿着所述端壁和所述上表面具有倒角边缘。
14.一种在化学沉积装置中有用的处理调节套件的承载环,所述承载环具有上表面、平行于所述上表面的下表面、外侧壁、内侧壁、以及从所述下表面延伸的三个对准销。
15.根据条款14所述的承载环,其中所述承载环由介电陶瓷材料制成,并且具有:约15英寸的外径;约11.7英寸的内径;约0.1英寸的厚度;三个对准销,每个对准销具有约0.2英寸的长度、具有从所述下表面延伸约0.06英寸的均匀直径部分和延伸到所述对准销的自由端的锥形部分;以及延伸到所述内壁和所述上表面中的环形凹部,所述环形凹部由具有约11.9英寸的直径且从所述上表面延伸约0.03英寸的竖直壁和从所述内壁延伸约0.08英寸的水平壁形成。
16.一种在化学沉积装置中有用的处理调整套件的垫片组,每个垫片具有上表面、平行于所述上表面的下表面、端壁、从所述端壁延伸的U形侧壁以及在所述上表面和所述下表面之间延伸的孔、以及在所述上表面和所述下表面之间延伸的孔,所述垫片组包括第一组垫片和第二组垫片,其中所述第一组垫片中的每个垫片具有相同的厚度,以及其中第二组垫片中的每个垫片具有相同的厚度,所述第一组的所述厚度不同于所述第二组的所述厚度。
17.根据条款16所述的垫片组,其中所述垫片包括具有不同厚度的至少十组。
18.根据条款16所述的垫片组,其中每个垫片由介电陶瓷材料制成,并且具有约0.8英寸的长度,约0.5英寸的宽度,具有约0.15英寸的直径的孔,以及0.0465英寸、0.0470英寸、0.0475英寸、0.0480英寸、0.0485英寸、0.0490英寸、0.0495英寸、0.0500英寸、0.0505英寸、0.0510英寸、0.0515英寸、0.0520英寸、0.0525英寸、0.0530英寸、0.0535英寸、0.0540英寸、0.0545英寸、0.0550英寸、0.0555英寸、0.0560英寸、0.0565英寸、0.0570英寸、0.0575英寸、0.0580英寸、0.0585英寸、0.0590英寸、0.0595英寸、0.0600英寸、0.0605英寸、0.0610英寸或0.0615英寸的厚度。
19.一种处理在化学沉积装置中的半导体衬底的方法,其包括:
将陶瓷承载环上的所述衬底传送到所述化学沉积装置的真空室中,并且将所述承载环放置在具有支撑所述承载环的成组的陶瓷马蹄形物和陶瓷垫片的基座组件上,所述马蹄形物具有接收从所述承载环的下表面延伸的对准销的孔,通过所述基座组件上的最小接触面积支撑件将所述衬底抬离所述承载环,使得所述衬底的下侧位于与所述承载环的上表面相距预定的偏移距离处;
在所述衬底上沉积材料层,同时加热所述衬底并保持所述预定的偏移距离,使得所述衬底上的背侧沉积最小化。
20.根据条款19所述的方法,其中所述基座组件包括环形凹部和三个矩形开口,所述三个矩形开口延伸到所述基座组件的外周中并通向所述环形凹部,每个所述矩形开口具有安装在其中的垫片和马蹄形物,所述基座组件上的定位销延伸穿过所述垫片的孔并且部分通过所述马蹄形物的孔,每个垫片具有相同的厚度并且提供所述预定的偏移距离,所述预定的偏移距离将距离所述衬底的斜边缘3mm处的背侧沉积限制到或/>以下。
附图说明
图1根据示例性的实施方式示出了显示具有基座的化学沉积装置的示意图。
图2是在位于基座组件的周边周围的马蹄形物和垫片上支撑承载环的基座组件的透视图。
图3A-3E是承载环的视图,其中图3A是承载环的俯视图,图3B是承载环的沿图3A中的线A-A的侧视图,图3C是图3B中的细节A的截面图,图3D是图3C中的细节D的截面图,以及图3E是图3C中的细节E的截面图。
图4A-4D是马蹄形物的视图,其中图4A是马蹄形物的透视图,图4B是马蹄形物的俯视图,图4C是马蹄形的端视图,以及图4D是图4B中的沿线A-A的截面图。
图5A-5C是垫片的视图,其中图5A是垫片的透视图,图5B是垫片的俯视图,以及图5C是垫片的端视图。
图6是具有马蹄形物和垫片的基座组件的透视图,所述马蹄形物和垫片通过定位销安装在基座组件上。
具体实施方式
在以下详细公开内容中,阐述了示例性的实施方式以便提供对本文公开的装置和方法的理解。然而,对于本领域技术人员将显而易见的是,可以在没有这些具体细节的情况下或通过使用替代的元件或过程来实践示例性的实施方式。在其他情况下,没有详细描述已知的方法、程序和/或组件,以免不必要地使本文所公开的实施方式的一些方面难以理解。
根据示例性的实施方式,本文公开的装置和相关方法可以用于进行化学沉积,例如等离子体增强化学沉积(PECVD)。该装置和方法可以与基于半导体制造的电介质沉积工艺结合使用,该工艺需要在多步沉积工艺(例如,原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、脉冲沉积层(PDL)或等离子体增强脉冲沉积层(PEPDL)处理)中的单独的自限制沉积步骤,然而它们不限于此。
如所指出的,本实施方式提供用于进行化学沉积(例如等离子体增强化学气相沉积)的装置和相关方法。该装置和方法特别适用于与基于半导体制造的电介质沉积工艺结合使用,该工艺需要在多步沉积工艺(例如,原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、等离子体增强化学气相沉积(PECVD)、脉冲沉积层(PDL)或等离子体增强脉冲沉积层(PEPDL)处理)中的单独的自限制沉积步骤,但是它们不限于此。上述工艺可能遭受与在经处理的晶片的背侧上的沉积相关的一些缺点,这可能由于由背侧膜沉积引起的晶片上的应力而导致晶片弯曲。
在化学沉积工艺中,诸如晶片之类的半导体衬底可以被保持在位于加热的基座上的最小接触面积(MCA)销上。晶片或其他衬底可以在诸如陶瓷承载环之类的承载环上传输,该承载环除了保持晶片之外,还有助于将等离子体聚焦到晶片上方的期望区域。基座可以由耐受高温并且对化学环境是惰性的任何材料制成。诸如铝之类的金属或诸如氮化铝和氮化硼之类的陶瓷是适当材料的实例。为了支撑晶片并容纳承载环,基座可以具有两种直径的区段,其中台形区段支撑晶片,而在基座的周边处的环形凹部允许来往基座放置用于传送晶片的承载环。虽然MCA销确保晶片的均匀和快速加热,但是在离晶片的斜边缘3mm处测得的前侧膜沉积与背侧膜沉积的比率可以为约1:1。
本文公开的实施方式优选地在诸如等离子体增强化学沉积装置(即PECVD装置、PEALD装置或PEPDL装置)之类的沉积装置中实施,然而,它们不限于此。图1提供了描绘被布置用于实施如本文所公开的实施方式的各种衬底等离子体处理装置部件的简单框图。如图所示,衬底等离子体处理装置300包括用于在处理区域中容纳等离子体的真空室324,其由包括喷头组件314的电容器型***产生,喷头组件314可选地具有与其中具有下RF电极(未示出)的衬底基座组件320一起工作的上RF电极(未示出)。至少一个RF发生器可操作以将RF能量供应到真空室324中的衬底316的上表面上方的处理区域中,以将供应到真空室324的处理区域中的气体激励为等离子体,使得可以在真空室324中执行等离子体沉积工艺。例如,高频RF发生器302和低频RF发生器304可以各自连接到匹配网络306,匹配网络306连接到喷头组件314的上RF电极,使得可以将RF能量供应到真空室324中的衬底316上方的处理区域。
由匹配网络306供应到真空室324的内部的RF能量的功率和频率足以从气体产生等离子体。在一实施方式中,使用高频RF发生器302和低频RF发生器304两者,而在替代的实施方式中,仅使用高频RF发生器302。在处理中,高频RF发生器302可以在约2-100MHz的频率下操作;在优选的实施方式中在13.56MHz或27MHz的频率下操作。低频RF发生器304可以在约50kHz至2MHz下操作;在优选的实施方式中在约350至600kHz下操作。可以基于室体积、衬底尺寸和其他因素来缩放工艺参数。类似地,处理气体的流速可以取决于真空室或处理区域的自由体积。
在真空室324内的处理期间,衬底基座组件320的上表面支撑衬底316。衬底基座组件320可以可选地包括在沉积和/或等离子体处理工艺之前、期间和/或之后保持衬底的卡盘和/或升降衬底的提升销。在替代的实施方式中,衬底基座组件320可以包括在沉积和/或等离子体处理工艺之前、期间和/或之后升降衬底的承载环。卡盘可以是静电卡盘、机械卡盘或如可用于工业和/或研究中的各种其它类型的卡盘。用于包括静电卡盘的衬底基座组件的提升销组件的细节可见于共同转让的美国专利No.8,840,754,该专利的全部内容通过引用并入本文。用于衬底基座组件的承载环的细节可见于共同转让的美国专利No.6,860,965,该专利的全部内容通过引用并入本文。背侧气体供应器341可操作以在处理期间通过衬底基座组件320将传热气体或吹扫气体供应到衬底的下表面下方的区域。衬底基座组件320可以包括在其内的下RF电极,其中下RF电极优选在处理期间接地,然而在替代的实施方式中,下RF电极可以在处理期间被供应RF能量。
为了处理在衬底等离子体处理装置300的真空室324中的衬底,经由入口312和喷头组件314将气体从气体源362引入到真空室324,其中用RF能量使气体形成等离子体,使得可以将膜沉积到衬底的上表面上。在一实施方式中,气体源362可以包括连接到加热歧管308的多个气体管线310。气体可以预混合或者单独地被供应到室。采用适当的阀和质量流量控制机构以确保在衬底处理期间通过喷头组件314输送合适的气体。在处理期间,可选地将背侧传热气体或吹扫气体供应到被支撑在衬底基座组件320上的衬底的下表面下方的区域。优选地,处理是化学气相沉积处理、等离子体增强化学气相沉积处理、原子层沉积处理、等离子体增强原子层沉积处理、脉冲沉积层处理或等离子体增强脉冲沉积层处理中的至少一种。
在某些实施方式中,在沉积、沉积后处理和/或其它工艺操作期间,采用***控制器162来控制工艺条件。控制器162通常将包括一个或多个存储器设备和一个或多个处理器。处理器可以包括CPU或计算机、模拟和/或数字输入/输出连接、步进马达控制器板等。在某些实施方式中,控制器162控制装置的所有活动。***控制器162执行***控制软件,该***控制软件包括用于控制处理操作的时序、低频RF发生器304和高频RF发生器302的操作的频率和功率、前体和惰性气体及其相对混合的流速和温度、支撑在衬底基座组件320的上表面上的衬底316和喷头组件314的等离子体暴露表面的温度、真空室324的压力、以及特定工艺的其他参数的指令集。在一些实施方式中可以采用存储在与控制器相关联的存储设备上的其他计算机程序。通常将存在与控制器162相关联的用户接口。用户接口可以包括显示屏、装置和/或工艺条件的图形软件显示、以及用户输入设备,例如指点设备、键盘、触摸屏、麦克风等。非暂时性计算机机器可读介质可以包括用于控制装置的程序指令。用于控制处理操作的计算机程序代码可以以任何常规计算机可读编程语言编写:例如汇编语言、C、C++、Pascal、Fortran或其他。编译的目标代码或脚本由处理器执行以执行程序中标识的任务。
控制器参数涉及工艺条件,例如处理步骤的时序、前体和惰性气体的流速和温度、衬底的温度、室的压力和特定工艺的其它参数。这些参数以配方的形式提供给用户,并且可以使用用户界面输入。用于监视处理的信号可以由***控制器的模拟和/或数字输入连接件提供。用于控制处理的信号在装置的模拟和数字输出连接件上输出。***软件可以以许多不同的方式设计或配置。例如,可以写入各种室部件子程序或控制对象以控制执行沉积处理所需的室部件的操作。用于此目的的程序或程序段的示例包括处理步骤的衬底时序代码、前体和惰性气体的流速和温度代码、以及用于真空室324的压力的代码。
如上所述,期望减少经处理的晶片上的背侧沉积。在示例性的实施方式中,可以显著减少背侧沉积。例如,当考虑的膜时,可以使用处理调整套件(PTK)将距离斜边缘3mm处的背侧沉积减少至/>或/>以下、优选/>或/>以下、以及更优选/>以下。这种改进的性能是通过对在处理期间将晶片保持在承载环上方期望距离处的承载环和马蹄形物组的修改来实现。然而,在一实施方式中,晶片和承载环在处理期间可以彼此接触。
PTK包括承载环、马蹄形物和垫片组的垫片中的至少一个。在优选的实现方式中,基座包括三个凹部,其接收三个马蹄形物,通过在每个马蹄形物下方安装垫片,可以相对于基座的上表面改变马蹄形物的高度。垫片组包括可以在0.04英寸至0.08英寸范围内的不同厚度的垫片。例如,垫片组可以包括具有至少10个垫片的组、优选至少20个垫片的组以及更优选至少30个垫片的组,这些垫片具有变化为0.0005英寸的不同厚度。在示例性的实施方式中,为了与基座一起使用,垫片组可以包括具有至少三个垫片的组,垫片具有0.0465、0.0470、0.0475、0.0480、0.0485、0.0490、0.0495、0.0500、0.0505、0.0510、0.0515、0.0520、0.0525、0.0530、0.0535、0.0540、0.0545、0.0550、0.0555、0.0560、0.0565、0.0570、0.0575、0.0580、0.0585、0.0590、0.0595、0.0600、0.0605、0.0610和0.0615英寸的厚度。因此,取决于特定的沉积工艺和基座的温度,可以使用一组垫片来调整马蹄形物的高度,从而以晶片和承载环之间的预定间隙(偏移距离)来定位晶片。
在真空室324内,基座组件320支撑其上可沉积材料的衬底316。基座组件320可以与用于将衬底316加热到期望的温度的加热器块联接。通常,将衬底316保持在约25℃至500℃的温度,具体取决于将要沉积的材料。在多站沉积装置中,每个站可以将晶片加热到不同的温度,并且可以使用不同厚度的垫片来改变适合于每个站的处理条件的偏移距离。
图2是基座组件320的透视图,其中承载环400位于延伸到基座组件320的上表面322中的环形凹部321中。在优选的实施方式中,基座组件320包括延伸到基座组件320的侧壁324的外周边中的三个矩形开口323,并且三个马蹄形物500位于开口323中。垫片600位于马蹄形物500的下方以调节马蹄形物相对于基座组件320的上表面322的高度。
图3A-3D示出了承载环400的优选的实施方式。如图3A所示,承载环400包括环形上表面401、外侧壁402和内侧壁403。图3B示出了承载环400的沿图3A中的线A-A的横截面。如图3B所示,承载环400包括下表面404,下表面404具有从其延伸的多个对准销405。图3C是承载环400的在图3B中的细节B处的横截面。如图3C所示,对准销405位于外侧壁402的内部,并且环形凹部406延伸到内侧壁403中并且延伸到上表面401中。图3D是承载环400的在图3C中的细节D处的横截面,而图3E是承载环400的在图3C中的细节E处的横截面。如图3D所示,对准销405包括靠近下表面404的均匀直径区段407和在对准销405的自由端处的锥形区段408。如图3E所示,环形凹部406由竖直壁409和水平壁410形成。承载环优选具有约15英寸的外径、约11.7英寸的内径、约0.1英寸的厚度、三个对准销,每个对准销具有约0.2英寸的长度,具有从下表面延伸约0.06英寸的均匀直径部分和延伸到对准销的自由端的锥形部分,以及延伸到内壁和上表面中的环形凹部,所述环形凹部由具有约11.9英寸的直径且从所述上表面延伸约0.03英寸的竖直壁和从所述内壁延伸约0.08英寸的水平壁形成。
图4A-4D示出了优选的马蹄形物500的细节。图4A是具有上表面501、端壁502、侧壁503、底表面504、孔505和槽506的马蹄形物500的透视图。侧壁504具有由弯曲区段连接的一对相对的直区段。孔505从上表面501延伸到下表面504。槽506在端壁502上开口并且沿着上表面501的约一半延伸。孔505位于槽506和侧壁504的弯曲区段之间。图4B是马蹄形物500的俯视图、图4C是马蹄形物500的端视图以及图4D是马蹄形物的沿图4B中的线A-A截取的横截面。如图4C所示,槽506由竖直壁507和水平壁508形成,其中竖直壁507包括一对短弯曲区段507a、一对直区段507b和弯曲区段507。如图4D所示,孔505包括锥形区段505a和均匀直径区段505b。马蹄形物优选具有约0.8英寸的长度、约0.5英寸的宽度、约0.5英寸的厚度,孔具有约0.15英寸的直径,狭槽具有约0.19英寸的宽度和约0.25英寸的高度,所述孔与所述槽对齐,侧壁具有由半圆形区段连接的一对平行的直区段,所述半圆形区段具有从所述孔的中心测量的约0.26英寸的半径,所述孔具有从下表面延伸约0.16英寸的均匀直径部分和延伸到马蹄形物的上表面中的锥形部分,所述锥形部分形成约80°的角度的倒角,并且槽具有沿着端壁和上表面的倒角边缘。
图5A-5C示出了垫片600的细节。图5A是垫片600的透视图,所述垫片600具有上表面601、端壁602、侧壁603、底表面604和在上表面601和下表面604之间延伸的孔605。上表面601平行于下表面604,并且侧壁603包括由弯曲区段603b连接的一对平行的直区段603a。孔605具有与马蹄形物500的孔505相同的直径。垫片组的垫片具有相同的形状和在上表面601和下表面604之间的各种厚度“t”。垫片优选地具有约0.8英寸的长度、约0.5英寸的宽度、直径约0.15英寸的孔、以及0.0465英寸、0.0470英寸、0.0475英寸、0.0480英寸、0.0485英寸、0.0490英寸、0.0495英寸、0.0500英寸、0.0505英寸、0.0510英寸、0.0515英寸、0.0520英寸、0.0525英寸、0.0530英寸、0.0535英寸、0.0540英寸、0.0545英寸、0.0550英寸、0.0555英寸、0.0560英寸、0.0565英寸、0.0570英寸、0.0575英寸、0.0580英寸、0.0585英寸、0.0590英寸、0.0595英寸、0.0600英寸、0.0605英寸、0.0610英寸或0.0615英寸的厚度。
图6示出了安装在基座组件320的矩形开口323中的马蹄形物500和垫片600的细节。如图所示,马蹄形物和垫片600通过定位销325保持在开口323中,定位销325延伸穿过垫片600中的孔605并进入马蹄形物500的孔505中。通过使用具有期望厚度“t”的垫片,可以相对于基座组件320的上表面322以期望的偏移来定位上表面501。
处理调整套件(PTK)优选地包括一组垫片,其被配置为相对于基座组件320的上表面322以期望的偏移距离定位承载环400。在多站处理装置中,例如其中四个承载环安装在位于真空室内的四个基座组件上并且晶片在每个站被顺序处理的***,每个基座组件可以设置有具有预定厚度的垫片,所述垫片被选择以减少在衬底上的背侧沉积。因此,可以在每个基座组件中使用相同的成组的马蹄形物并且使用垫片组调节它们的高度。
承载环400可以具有不同的内径以实现期望的处理效果。例如,为了改变背侧沉积的程度,可能希望减小内侧壁403的内径,以提供晶片的下侧和承载环400的环形凹部406之间的期望的间隙。承载环400的内径的千分之一英寸的数量级的变化可有助于背侧沉积的减少。
用于承载环、马蹄形物和垫片的材料优选是具有适合于半导体处理的合适纯度,例如99.5%或更高纯度的陶瓷材料。承载环、马蹄形物和垫片优选由通过任何合适的技术制成的高纯度氧化铝制成。例如,作为起始材料,可以混合高纯度氧化铝粉末和少量在烧制期间用作烧结助剂的MgCO3、CaCO3和SiO2粉末,并进行湿磨,从而获得浆料,并且可以将有机粘合剂加入到浆料中,并进行混合,然后喷雾干燥以形成氧化铝颗粒。
为了制造承载环,可以将氧化铝颗粒形成为具有约15英寸的外径、约11.7英寸的内径和约0.1英寸的厚度的成形体,具有约0.2英寸长的三个对准销。如果需要,可以将所得成形体加工,并在空气中在1550℃至1650℃下烧制以形成烧结体。所得到的烧结体可以在Ar气中,在低于烧制温度并在1500℃至1600℃范围内的温度下,以及在200MPa下,通过热等静压(HIP)进行热处理。由此,形成致密的氧化铝体。所得致密氧化铝体可在空气中,在低于通过HIP的热处理温度并在1400℃至1550℃的范围内的温度下退火至少5分钟。从热等静压成型时的温度到热等静压后的退火温度的降温速率设定为低于1.0℃/小时。例如,降温速率可以设定为0.6℃/小时。在执行退火之后,可以使用任何合适的设备(例如使用旋转研磨机的金刚石-电沉积磨石)来抛光两个主表面。由此,可以获得承载环。使用激光束机,所得到的承载环可以被加工,以便具有精确的尺寸和表面粗糙度。
为了制造马蹄形物,可以将氧化铝颗粒形成为约0.825英寸长、约0.525英寸宽和约0.53英寸厚的成形体。所得的成形体可以被加工以形成具有约0.15英寸直径的孔,在上表面处具有约80°的锥度,并且槽可以形成为具有约0.25英寸的深度、约0.2英寸的宽度和约0.3英寸的长度,并且如上面对于承载环所述那样进行处理。在执行烧结和退火处理之后,可以使用任何合适的设备(例如使用旋转研磨机的金刚石-电沉积磨石)来抛光暴露表面。由此,可以获得马蹄形物。使用激光束机,所得马蹄形物可以被加工,以便具有精确的尺寸和表面粗糙度。
为了制造垫片,可以将氧化铝颗粒形成为约0.825英寸长、约0.525英寸宽和约0.05至0.06英寸厚的成形体。所得的成形体可以被加工以形成直径为约0.15英寸的孔,并且如上面对于承载环所述那样进行处理。在执行烧结和退火处理之后,可以使用任何合适的设备(例如使用旋转研磨机的金刚石-电沉积磨石)来抛光暴露表面。由此,可以获得垫片。使用激光束机,所得到的垫片可以被加工,以便具有精确的尺寸和表面粗糙度。
本文还公开了一种处理在处理装置中的半导体衬底的方法。该方法包括将反应器化学品从反应器化学品源供应到沉积室中,以及在等离子体处理室中处理半导体衬底。该方法优选地包括等离子体处理衬底,其中使用产生在沉积室中的等离子体的RF发生器将RF能量施加到反应器化学品。
当在本说明书中使用与数值相关的词语“约”时,意味着相关的数值包括围绕所述数值的±10%的公差。
此外,当结合几何形状使用词语“一般”、“相对”和“基本上”时,意味着几何形状的精度不是必需的,而且形状的宽容度在本公开范围内。当与几何术语一起使用时,词语“一般”、“相对”和“基本上”旨在不仅涵盖满足严格定义的特征,而且涵盖非常接近严格定义的特征。
虽然已经参考其具体实施方式详细描述了包括等温沉积室的等离子体处理装置,但是对于本领域技术人员来说显而易见的是,可以进行各种改变和修改以及使用等同方式,而不背离所附权利要求书的范围。

Claims (11)

1.一种用于衬底等离子体处理装置的处理调整套件,该套件包括承载环,成组的三个马蹄形物和成组的三个垫片,其中:
每个垫片包括上表面、平行于该上表面的下表面、端壁、从该端壁延伸的U形壁、在该上表面和该下表面之间延伸的孔,并且其中第一组垫片中的每个垫片具有相同的第一厚度,第二组垫片中的每个垫片具有相同的第二厚度,所述第二厚度与所述第一厚度不同;
每个马蹄形物包括上表面、与该上表面平行的下表面、端壁、从该端壁延伸出的U形壁、在该上表面和该下表面之间延伸的孔、以及在所述马蹄形物的该端壁中延伸小于跨越该上表面一半的开槽,该马蹄形物的孔等于该垫片的孔的直径;和
所述承载环包括上表面、与该上表面平行的下表面、外壁、内壁以及从该承载环的该下表面延伸的三个对准销。
2.根据权利要求1所述的套件,其中,所述垫片包括具有不同厚度的至少十组。
3.根据权利要求1所述的套件,其中,每个马蹄形物的孔向外到所述孔的上端呈锥型。
4.根据权利要求1所述的套件,其中,所述承载环、所述马蹄形物和所述垫片包含高纯度氧化铝。
5.根据权利要求1所述的套件,其中:
该马蹄形物的长度为0.8英寸,宽度为0.5英寸,厚度为0.5英寸;
该马蹄形物的孔的直径为0.15英寸;
所述开槽的宽度为0.19英寸,高度为0.25英寸,所述马蹄形物的孔与所述开槽对齐;
所述U形壁具有一对平行的直区段,所述平行的直区段通过半圆形区段连接,所述半圆形区段从所述马蹄形物的孔的中心测得的半径为0.26英寸;
所述马蹄形物的孔具有从所述下表面延伸0.16英寸的均匀直径部分,以及设置在所述马蹄形物的所述上表面中的锥形部分,该锥形部分形成约80°的角度的倒角;和
所述开槽沿所述端壁和所述上表面具有倒角边缘。
6.根据权利要求1所述的套件,其中:
每个垫片的长度为0.8英寸,宽度为0.5英寸;
每个垫片的孔的直径为0.15英寸;和
每个垫片的厚度选自以下范围:0.0465英寸,0.0470英寸,0.0475英寸,0.0480英寸,0.0485英寸,0.0490英寸,0.0495英寸,0.0500英寸,0.0505英寸,0.0510英寸,0.0515英寸,0.0520英寸,0.0525英寸,0.0530英寸,0.0535英寸,0.0540英寸,0.0545英寸,0.0550英寸,0.0555英寸,0.0560英寸,0.0565英寸,0.0570英寸,0.0575英寸,0.0580英寸,0.0585英寸,0.0590英寸,0.0595英寸,0.0600英寸,0.0605英寸,0.0610英寸和0.0615英寸。
7.根据权利要求1所述的套件,其中:
所述承载环的外径为15英寸,内径为11.7英寸,厚度为0.1英寸;
三个对准销中的每一个具有0.2英寸的长度和从所述下表面延伸0.06英寸的均匀直径部分,以及延伸到所述对准销的自由端的锥形部分;
所述承载环的环形凹部延伸到所述内壁和所述上表面中,并且其中所述环形凹部包括直径为11.9英寸并从所述上表面延伸0.03英寸的竖直壁和从所述内壁延伸0.08英寸的水平壁。
8.一种包括如权利要求1所述的套件的基座组件,其中,所述基座组件包括三个矩形开口,所述垫片可以被定位在所述矩形开口中以位于所述马蹄形物的下方,并且所述承载环可以被支撑在所述马蹄形物上。
9.根据权利要求8所述的基座组件,其中,所述基座组件包括定位销,所述定位销延伸穿过所述垫片的孔并且部分地延伸穿过所述马蹄形物的孔,所述承载环的对准销可延伸至所述马蹄形物的孔中。
10.一种在衬底等离子体处理装置中处理半导体衬底的方法,该方法包括:
将承载环上的衬底输送到衬底等离子体处理装置的真空室中;
将所述承载环放置在基座组件上,所述基座组件包含根据权利要求1所述的处理调整套件的成组的马蹄形物和成组的垫片以支撑所述承载环,所述马蹄形物的孔用于容纳所述承载环的对准销;
通过设置在所述基座组件上的最小接触面积支撑件将所述衬底从所述承载环上升起,使得所述衬底的可操作下侧与所述承载环的可操作上表面相距预定的偏移距离;和
在加热所述衬底并保持预定偏移距离的同时,在所述衬底上沉积一层材料。
11.根据权利要求10所述的方法,其中:
所述基座组件包括环形凹部和三个矩形开口,所述三个矩形开口延伸到所述基座组件的外周边中并且通向所述环形凹部,每个矩形开口构造成接收安装在其中的垫片和马蹄形物;
在使用中,所述基座组件上的定位销延伸穿过安装的垫片的孔,并部分穿过安装的马蹄形物的孔;和
垫片组中的每个垫片都具有相同的厚度,并保持预定的偏移距离,以将距所述衬底的斜边缘3mm处的背侧沉积限制在或更小。
CN202110296810.6A 2015-12-17 2016-12-19 用于减少晶片背侧沉积的可变温度硬件及方法 Active CN113235071B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110296810.6A CN113235071B (zh) 2015-12-17 2016-12-19 用于减少晶片背侧沉积的可变温度硬件及方法

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201514972205A 2015-12-17 2015-12-17
US14/972,205 2015-12-17
US15/051,886 2016-02-24
US15/051,886 US9870917B2 (en) 2015-12-17 2016-02-24 Variable temperature hardware and methods for reduction of wafer backside deposition
CN201611177342.6A CN106947958B (zh) 2015-12-17 2016-12-19 用于减少晶片背侧沉积的可变温度硬件及方法
CN202110296810.6A CN113235071B (zh) 2015-12-17 2016-12-19 用于减少晶片背侧沉积的可变温度硬件及方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201611177342.6A Division CN106947958B (zh) 2015-12-17 2016-12-19 用于减少晶片背侧沉积的可变温度硬件及方法

Publications (2)

Publication Number Publication Date
CN113235071A CN113235071A (zh) 2021-08-10
CN113235071B true CN113235071B (zh) 2023-12-01

Family

ID=59067260

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201611177342.6A Active CN106947958B (zh) 2015-12-17 2016-12-19 用于减少晶片背侧沉积的可变温度硬件及方法
CN202110296810.6A Active CN113235071B (zh) 2015-12-17 2016-12-19 用于减少晶片背侧沉积的可变温度硬件及方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201611177342.6A Active CN106947958B (zh) 2015-12-17 2016-12-19 用于减少晶片背侧沉积的可变温度硬件及方法

Country Status (6)

Country Link
US (1) US9870917B2 (zh)
JP (3) JP6940273B2 (zh)
KR (2) KR102564322B1 (zh)
CN (2) CN106947958B (zh)
SG (1) SG10201610451QA (zh)
TW (1) TWI728016B (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9870917B2 (en) * 2015-12-17 2018-01-16 Lam Research Corporation Variable temperature hardware and methods for reduction of wafer backside deposition
US10655224B2 (en) * 2016-12-20 2020-05-19 Lam Research Corporation Conical wafer centering and holding device for semiconductor processing
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
CN108315720A (zh) * 2018-01-31 2018-07-24 上海集成电路研发中心有限公司 一种提高膜厚均匀性的装置及方法
US11043406B2 (en) * 2018-04-18 2021-06-22 Applied Materials, Inc. Two piece shutter disk assembly with self-centering feature
WO2020159708A1 (en) * 2019-01-31 2020-08-06 Lam Research Corporation Showerhead with configurable gas outlets
US11280222B2 (en) * 2019-02-26 2022-03-22 The Boeing Company Bulkhead shims for curvilinear components
US11555743B2 (en) 2019-07-01 2023-01-17 Snap-On Incorporated Method and system for calibrating imaging system
US10823553B1 (en) * 2019-07-01 2020-11-03 Snap-On Incorporated Apparatus with component aligner
US11709099B2 (en) 2019-07-01 2023-07-25 Snap-On Incorporated Method and system for calibrating imaging system
US11010908B2 (en) * 2019-07-01 2021-05-18 Snap-On Incorporated Apparatus with component aligner
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
KR20210042749A (ko) 2019-10-10 2021-04-20 삼성전자주식회사 정전 척 및 상기 정전 척을 포함하는 기판 처리 장치
KR20220091614A (ko) * 2019-11-26 2022-06-30 램 리써치 코포레이션 기판 프로세싱 툴을 위한 페데스탈 키네마틱 마운트 (pedestal kinematic mount) 로의 캐리어 링 (carrier ring)
CN115407087A (zh) * 2021-05-26 2022-11-29 长鑫存储技术有限公司 纳米探针测试的样品固定机构、测试装置及样品测试方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5230741A (en) * 1990-07-16 1993-07-27 Novellus Systems, Inc. Gas-based backside protection during substrate processing
US5769951A (en) * 1990-07-16 1998-06-23 Novellus Systems Inc Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5922133A (en) * 1997-09-12 1999-07-13 Applied Materials, Inc. Multiple edge deposition exclusion rings
US5994678A (en) * 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
TW200913108A (en) * 2006-09-15 2009-03-16 Applied Materials Inc Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
CN102414338A (zh) * 2009-02-27 2012-04-11 应用材料公司 不破坏真空的从基座表面移除残留物的原位电浆清除技术
CN105088177A (zh) * 2014-05-22 2015-11-25 朗姆研究公司 后侧沉积装置和应用
CN106947958B (zh) * 2015-12-17 2021-04-09 朗姆研究公司 用于减少晶片背侧沉积的可变温度硬件及方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5665430A (en) * 1992-09-30 1997-09-09 The United States Of America As Represented By The Secretary Of The Navy Chemical vapor deposition method for depositing diamond using a high temperature vacuum substrate mount
JPH08335583A (ja) * 1995-06-08 1996-12-17 Murata Mfg Co Ltd ウエハ加熱装置
US6126382A (en) * 1997-11-26 2000-10-03 Novellus Systems, Inc. Apparatus for aligning substrate to chuck in processing chamber
US6219219B1 (en) 1998-09-30 2001-04-17 Applied Materials, Inc. Cathode assembly containing an electrostatic chuck for retaining a wafer in a semiconductor wafer processing system
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
US20050133165A1 (en) * 2003-12-23 2005-06-23 Taiwan Semiconductor Manufacturing Co. Apparatus for the prevention of arcing in a CVD-TiN chamber
US8951351B2 (en) 2006-09-15 2015-02-10 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced backside deposition and defects
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
JP5071437B2 (ja) 2009-05-18 2012-11-14 パナソニック株式会社 プラズマ処理装置及びプラズマ処理装置におけるトレイの載置方法
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
JP2013012509A (ja) * 2011-06-28 2013-01-17 Ace:Kk 半導体製造装置におけるウエハステージおよびその使用方法
US10242848B2 (en) 2014-12-12 2019-03-26 Lam Research Corporation Carrier ring structure and chamber systems including the same
US10648079B2 (en) * 2014-12-19 2020-05-12 Lam Research Corporation Reducing backside deposition at wafer edge

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5230741A (en) * 1990-07-16 1993-07-27 Novellus Systems, Inc. Gas-based backside protection during substrate processing
US5769951A (en) * 1990-07-16 1998-06-23 Novellus Systems Inc Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5994678A (en) * 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
US5922133A (en) * 1997-09-12 1999-07-13 Applied Materials, Inc. Multiple edge deposition exclusion rings
TW200913108A (en) * 2006-09-15 2009-03-16 Applied Materials Inc Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
CN102414338A (zh) * 2009-02-27 2012-04-11 应用材料公司 不破坏真空的从基座表面移除残留物的原位电浆清除技术
CN105088177A (zh) * 2014-05-22 2015-11-25 朗姆研究公司 后侧沉积装置和应用
CN106947958B (zh) * 2015-12-17 2021-04-09 朗姆研究公司 用于减少晶片背侧沉积的可变温度硬件及方法

Also Published As

Publication number Publication date
JP7117419B2 (ja) 2022-08-12
JP2017135366A (ja) 2017-08-03
US9870917B2 (en) 2018-01-16
KR20230117322A (ko) 2023-08-08
JP2023103331A (ja) 2023-07-26
US20170178898A1 (en) 2017-06-22
JP2021132226A (ja) 2021-09-09
KR20170074773A (ko) 2017-06-30
JP6940273B2 (ja) 2021-09-22
CN106947958B (zh) 2021-04-09
TWI728016B (zh) 2021-05-21
CN106947958A (zh) 2017-07-14
CN113235071A (zh) 2021-08-10
SG10201610451QA (en) 2017-07-28
JP7303245B2 (ja) 2023-07-04
TW201730932A (zh) 2017-09-01
KR102564322B1 (ko) 2023-08-04
JP2021132225A (ja) 2021-09-09

Similar Documents

Publication Publication Date Title
CN113235071B (zh) 用于减少晶片背侧沉积的可变温度硬件及方法
CN110506326B (zh) 可移动的边缘环设计
US12009178B2 (en) Ceramic coated quartz lid for processing chamber
JP2020115541A (ja) ウェハエッジプラズマシース調整機能を備える半導体プラズマ処理装置
JP2018534777A (ja) 半導体製造のウエハ処理用の高生産性pecvdツール
JP2022544494A (ja) 基板処理システム用の可動エッジリング
JP7523629B2 (ja) ウエハ裏面における堆積の低減のための可変温度ハードウェアおよび方法
CN114846596A (zh) 在晶片外周附近具有凹入区域以减轻边缘/中心不均匀性的半导体处理卡盘
KR20190032982A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR20230104663A (ko) 플라즈마 프로세싱 챔버를 위한 스파크 플라즈마 소결된 (spark plasma sinter) 컴포넌트

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant