CN112930714A - Monitoring light emission - Google Patents

Monitoring light emission Download PDF

Info

Publication number
CN112930714A
CN112930714A CN201980070983.7A CN201980070983A CN112930714A CN 112930714 A CN112930714 A CN 112930714A CN 201980070983 A CN201980070983 A CN 201980070983A CN 112930714 A CN112930714 A CN 112930714A
Authority
CN
China
Prior art keywords
light
control system
target
interior region
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980070983.7A
Other languages
Chinese (zh)
Inventor
D·J·W·布朗
R·J·拉法克
陶业争
I·V·福门科夫
J·T·斯特瓦特四世
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN112930714A publication Critical patent/CN112930714A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/005X-ray radiation generated from plasma being produced from a liquid or gas containing a metal as principal radiation generating component
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • X-Ray Techniques (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

A system is provided that includes a vacuum chamber having an interior region configured to receive a target and an optical beam. The target material, when in a plasma state, emits Extreme Ultraviolet (EUV) light. The system also includes a detection system configured to image the interior region by detecting light emissions from atoms, ions, or molecules in the interior region and generating a representation of a spatial distribution of the light emissions in the interior region. The control system is coupled to the detection system. The control system is configured to analyze the representation of the spatial distribution to determine a spatial distribution of light emissions from atoms, ions, or molecules in the interior region, and to determine whether to adjust a characteristic of the light beam and/or a characteristic of the vacuum chamber based on the spatial distribution of light emissions.

Description

Monitoring light emission
Cross Reference to Related Applications
This application claims priority to U.S. application No. 62/751,267 entitled "monitoring light emission" filed on 26.10.2018, the entire contents of which are incorporated herein by reference.
Technical Field
The present disclosure relates to monitoring light emission. The light emission may be a light emission occurring in a vacuum chamber of an Extreme Ultraviolet (EUV) light source.
Background
Extreme ultraviolet ("EUV") light (e.g., electromagnetic radiation having a wavelength of 100 nanometers (nm) or less (sometimes also referred to as soft X-rays) and including light having a wavelength of, for example, 20nm or less, between 5nm and 20nm, or between 13nm and 14 nm) can be used in a photolithography process to create very small features in a substrate, such as a silicon wafer, by inducing polymerization in a resist layer.
Methods of producing EUV light include, but are not limited to, converting a material that, in a plasma state, has an element with an emission line in the EUV range, such as xenon, lithium, or tin. In one such method, commonly referred to as laser produced plasma ("LPP"), the desired plasma may be produced by irradiating a target material, for example in the form of droplets, plates, ribbons, streams or clusters of material, with an amplified beam which may be referred to as a drive laser. For this process, a plasma is typically generated in a sealed container (e.g., a vacuum chamber) and monitored using various types of metrology equipment.
Disclosure of Invention
In one general aspect, a system includes a vacuum chamber including an interior region configured to receive a target and an optical beam, the target including a target material that Emits Ultraviolet (EUV) light when in a plasma state; a detection system configured to image the interior region, the detection system configured to detect light emissions from atoms, ions or molecules in the interior region and to produce a representation of a spatial distribution of the light emissions in the interior region; and a control system coupled to the detection system, the control system configured to analyze the representation of the spatial distribution of light emissions to determine the spatial distribution of light emissions from atoms, ions, or molecules in the interior region; and determining whether to adjust at least one characteristic of the light beam and/or at least one characteristic of the vacuum chamber based on the spatial distribution of light emission.
Implementations may include one or more of the following features. The light emission may include fluorescence. The fluorescence may comprise laser induced fluorescence. The control system being configured to analyze the representation may further comprise: the control system may be configured to compare the spatial distribution of fluorescence in the interior region at least two different times to estimate a velocity of ions in the interior region and compare the estimated velocity to a velocity specification, and the control system may be configured to determine whether to adjust the pressure of the gas based on the comparison of the estimated velocity to the velocity specification.
The system may also include one or more spectral filters configured to be positioned relative to the detection system, the spectral filters configured to allow only some wavelengths to reach the detection system. Each of the one or more spectral filters may be configured to transmit light having a wavelength in one of the plurality of emission lines of the target material. In some implementations, at least one of the one or more spectral filters is configured to transmit wavelengths in the visible range. The vacuum chamber may also be configured to contain a gas in the interior region, and the spectral filter may be configured to transmit light having a wavelength at an emission line of the gas.
The control system may be configured to receive a plurality of representations of the interior region, each of the plurality of representations may be associated with a different time, and the control system being configured to analyze the representations of the interior region may include: the control system is configured to analyze each of the plurality of representations to determine a spatial distribution of light emission in the interior region at each of the different times. The light emission in the interior region may be generated by an energy event in the interior region, and the different times are all times that occur after the energy event. The energy event may include an interaction between the light beam and the target, and the light emission may be an emission from: (a) a target material; (b) a plasma formed by interaction between the beam and the target material; and/or (c) debris formed by the interaction of the light beam and the target.
The control system may be configured to receive an extended exposure representation of the interior region, the extended exposure representation of the interior region comprising an average of a spatial distribution of emissions in the interior region over a period of time. The vacuum chamber may also be configured to contain a gas in the interior region, the energy event may be an interaction that adds energy to the gas, and the light emission may be an emission from the gas. Interactions that add energy to the gas may include (a) interactions between the light beam and the gas; (b) interaction between the gas and a plasma formed by interaction between the beam and the target; and/or (c) interaction between ions and gas.
The control system being configured to analyze the representation to determine the spatial distribution of light emission in the interior region may comprise: the control system is configured to estimate a spatial distribution and/or shape of the intensity of the light emission.
In some implementations, the system further includes a first spectral filter configured to transmit light having wavelengths in a first band of wavelengths; and a second spectral filter configured to transmit light having wavelengths in a second band of wavelengths, and the control system configured to analyze the representation may include: the control system is configured to estimate an amount of light emission in the first band and estimate an amount of light emission in the second band, and the control system may be further configured to estimate the ionized fraction of the target material based on comparing the amount of light emission estimated at the first band and the amount of light emission estimated at the second band. The control system may determine whether to adjust at least one characteristic of the light beam based on the estimated fraction of ionization. The control system may determine whether to adjust the pointing direction of the light beam based on the estimated fraction of ionization.
The beam may comprise a main pulsed beam of sufficient energy to convert at least some of the target materials to a plasma that emits EUV light.
The beam may comprise a pre-pulsed beam.
The representation of the spatial distribution may comprise a representation of a two-dimensional representation.
The beam of light may comprise a pulsed beam of light, and the control system being configured to adjust at least one characteristic of the beam of light may comprise: the control system is configured to adjust at least one characteristic of a subsequent pulse of the pulsed light beam.
In another general aspect, an EUV light source includes a vacuum chamber configured to: receiving a gas and a beam of light in an interior region, the target comprising a target material that emits Extreme Ultraviolet (EUV) light in a plasma state; a monitor comprising at least one sensor configured to detect emissions from the gas in the interior region and to generate an indication of the detected emissions; and a control system coupled to the monitor, the control system configured to analyze the indication of the detected emissions; and determining whether to adjust at least one characteristic of the beam and/or at least one characteristic of the vacuum chamber based on the analysis.
Implementations may include one or more of the following features. The monitor may include a detection system configured to image a portion of the interior region and to generate a representation of a spatial distribution of detected emissions in the portion. The control system may be configured to receive a plurality of representations over a period of time, each representation being indicative of a spatial distribution of the detected emissions in the portion at a different time within the period of time, and the control system may be configured to determine whether to adjust at least one characteristic of the beam and/or at least one characteristic of the vacuum chamber based on two or more of the plurality of representations.
The gas may include hydrogen, and the detected emissions may include H-alpha (H-alpha) and/or H-beta (H-beta) emissions from the hydrogen.
The EUV light source may further include a first spectral filter configured to transmit a first band of wavelengths; and a second spectral filter configured to transmit a second band of wavelengths, wherein in operational use, the first spectral filter and the second spectral filter may be located between the portion and the detection system; and the control system being configured to analyse the detected emissions may comprise: the control system is configured to compare a representation of the emission transmitted through the first spectral filter with a representation of the emission transmitted through the second spectral filter; and the determination of whether to adjust at least one characteristic of the light beam and/or at least one characteristic of the vacuum chamber may be based on the comparison.
The EUV light source may further include a pressure controller coupled to the interior of the vacuum chamber, the pressure controller configured to vary a pressure of a gas in the interior of the vacuum chamber, and the control system may be coupled to the pressure controller.
In another general aspect, a method of controlling an EUV light source includes: providing a target to a target area in a vacuum chamber, the vacuum chamber containing a gas in an interior area; causing an interaction between the light beam and a target in the target area; detecting light emission from atoms, ions and/or molecules in the interior region of the vacuum chamber, the light emission being in response to energy events in the vacuum chamber, the energy events including events that add energy to the target and/or gas; analyzing the detected light emission to determine a spatial distribution of light emission in the interior region; and determining whether to adjust a characteristic of the light beam and/or the gas based on the analysis.
Implementations of any of the techniques described above may include an EUV light source, a system, a method, a process, an apparatus, or a device. The details of one or more implementations are set forth in the accompanying drawings and the description below. Other features will be apparent from the description and drawings, and from the claims.
Drawings
The disclosure is best understood from the following detailed description when read with the accompanying drawing figures. It should be emphasized that, in accordance with common practice, the various features of the drawings are not necessarily drawn to scale. In contrast, the dimensions of the various features may be arbitrarily expanded or reduced for clarity. Like numbers refer to like features throughout the specification and drawings.
Fig. 1A is a block diagram of an example of an Extreme Ultraviolet (EUV) light source.
Fig. 1B is an example of a temporal distribution of optical pulses.
Fig. 2 is a block diagram of another example of an Extreme Ultraviolet (EUV) light source.
Fig. 3 is a flowchart of an example of a process for controlling an EUV light source.
Fig. 4A to 4D are exemplary images of laser-induced fluorescence.
FIG. 5 is example data related to analyzing light emission from a gas.
FIG. 6A and FIG. 6B are block diagrams of examples of a lithographic apparatus.
Fig. 7 is a block diagram of an example of an EUV light source.
Detailed Description
Techniques are disclosed for controlling an Extreme Ultraviolet (EUV) lithography system and/or an EUV source based on an analysis of light emission occurring within a vacuum chamber of the EUV source.
Referring to FIG. 1A, a block diagram of an Extreme Ultraviolet (EUV) light source 100 is shown. The EUV light source 100 includes a sensor system 130 and a control system 150. The sensor system 130 monitors the light emission occurring inside the vacuum chamber 109 and provides information regarding the emission to the control system 150. The emission is analyzed by a control system 150 configured to adjust one or more components of the EUV light source 100 based on the analysis of the emission. The emission may be from the plasma 196, the gas 122, target material in the target 121p, and/or debris 195. Monitoring the emission in the vacuum chamber 109 may allow various parameters of the EUV source that affect the performance of the EUV source 100 to be determined and controlled. For example, information from the sensor system 130 can be used to determine a portion or fraction of the target material (or fuel) that is ionized by the plasma generation event and/or to determine an amount of energy deposited to the gas 122 located in the vacuum chamber 109. Knowing such parameters allows the control system 150 to improve the performance of the EUV light source 100.
The monitored emissions are light emitted from one or more substances in the vacuum chamber 109. These substances include or are atoms, molecules and/or ions. The emission may be any kind of emission involving light emitted from the substance. For example, the emission may be an optical emission that occurs as a result of atoms being excited by a high temperature source. In another example, the emission may be fluorescence from an atom, molecule, or ion. Fluorescence is the emission of light by a substance that absorbs light or other electromagnetic radiation.
Furthermore, the emission may be laser induced fluorescence. Laser induced fluorescence is a process in which an atom, ion, or molecule absorbs laser light and an electron of a substance is excited to a higher energy level. After excitation, the electrons decay to a lower energy level and the atoms, ions or molecules emit light. The emitted light is laser induced fluorescence. Laser induced fluorescence may be generated by irradiating the substance with a beam of light 106 (which may be a laser) and/or by irradiating the substance with a laser beam 115 generated by a detection laser 108. Any laser suitable for exciting the substance in a manner of interest may be used as detection laser 108. For example, the detection laser 108 may be a laser (such as an optical parametric oscillator or other type of tunable laser) that can be tuned to produce one of several different wavelengths.
The wavelength of a particular emission is determined by the properties of the substance and the amount of energy used to excite the substance. Also, a particular substance may produce emissions of more than one wavelength. For example, hydrogen gas emits light at a wavelength of 658.28 nanometers (nm) when a hydrogen electron transitions from the third lowest energy level to the second lowest energy level. This emission is referred to as the H-alpha (H- α) emission. However, hydrogen also emits light at other wavelengths. For example, when a hydrogen electron transitions from the fourth lowest energy level to the second lowest energy level, hydrogen gas emits light having a wavelength of 486.14 nm. This emission is referred to as H-beta (H-beta) emission. Hydrogen also has other emission lines. The wavelength emitted by hydrogen depends on the amount of excitation energy, which determines the energy level to which electrons are excited from the ground state. Likewise, other substances that may be present in the vacuum chamber 109 emit light of a particular wavelength depending on their respective physical properties and the energy with which the substance is excited.
By analyzing these emissions, the control system 150 can monitor the conditions within the vacuum chamber 109 and adjust the environment within the vacuum chamber 109 accordingly. In particular, the control system 150 is configured to analyze and adjust one or more characteristics of subsequent (subsequent emitted) pulses of the light beam 106 and/or one or more characteristics of the vacuum chamber 109 based on the monitored emissions. Characteristics of subsequent pulses of the beam 106 that may be adjusted include, for example, size (e.g., beam waist at the plasma formation location 123), average energy and/or maximum energy, duration, and/or position relative to the plasma formation location 123. Characteristics of vacuum chamber 109 that may be adjusted include, for example, the pressure of gas 122, the temperature of gas 122, the flow rate of gas 122, the flow direction of gas 122, the size of target 121p, and/or the spacing of targets in target flow 121.
Before discussing the control system 150 in more detail, various components of the EUV source 100 are discussed.
The EUV source 100 also includes a target supply system 110 that emits a target stream 121. The object supply system 110 includes an object forming device 117, the object forming device 117 defining an orifice 119 fluidly coupled to an accumulator 118. In operational use, the target material is in a flowable state (e.g., the target material is in a molten and at a temperature above its melting point), and accumulator 118 is pressurized to pressure P. The pressure P is greater than the pressure in the vacuum chamber 109. Thus, in operational use, the target material flows through the aperture 119 and into the vacuum chamber 109 to form the target stream 121. In the example of fig. 1A, target stream 121 travels from aperture 119 generally along the x-direction to plasma formation location 123, with target 121p (which is one of the targets in stream 121) being located at plasma formation location 123 at the time depicted in fig. 1A.
The target in the target stream 121 may be a droplet of target material. The target material may be any material that emits EUV light when in a plasma state. For example, the target material may include water, tin, lithium, and/or xenon. The target material may be a targetA mixture, the target mixture including a target substance and impurities such as non-target particles. The target substance is a substance having an emission line in the EUV range when in a plasma state. The target substance may be, for example, a droplet of liquid or molten metal, a portion of a liquid stream, a solid particle or cluster, a solid particle contained in a droplet, a foam of the target material, or a solid particle contained within a portion of a liquid stream. The target substance may be, for example, water, tin, lithium, xenon, or any material having an emission line in the EUV range when converted into a plasma state. For example, the target substance may be elemental tin, which may be pure tin (Sn); as tin compounds, for example, SnBr4、SnBr2、SnH4(ii) a As the tin alloy, for example, a tin-gallium alloy, a tin-indium-gallium alloy, or any combination of these alloys. Also, in the absence of impurities, the target material includes only the target substance.
During operation of the EUV source 100, a plasma 196 is formed by interacting the beam 106 with a target 121p at a plasma formation location 123. The plasma includes fine particles, which are collectively referred to as plasma particles. The plasma particles may be, for example, vaporized, atomized, and/or ionized particles of fuel, and the monitored emissions may include emissions from any of these substances. The interaction of the light beam with the target materials, where the energy of the light beam is sufficient to convert at least some of the target materials into a plasma, is referred to as a plasma generating event. Each plasma generation event also typically produces debris (e.g., fragments or pieces of the target material that are not converted to the plasma 196), and the monitored emissions may include emissions from the debris. Thus, during operation of the EUV source 100, after a plasma generation event, plasma 196 and debris 195 are present in the chamber 109.
The EUV source 100 further comprises a light generation module 105, which light generation module 105 generates a light beam 106. The light generating module 105 may be, for example, carbon dioxide (CO)2) A laser or a solid state laser. The light generation module 105 may include various other components not shown in FIG. 1A, such as a preamplifierA power amplifier, an optical element for directing light (such as a mirror), and a beam combiner. In some implementations, the light generation module 105 includes more than one light source, and may include more than one laser, and may include different types of lasers. Fig. 2 shows an example of a light generating module 205 comprising more than one light source.
The beam 106 may be a pulse train in which each pulse is separated in time from the most recent pulse. Fig. 1B shows an example of the temporal distribution (optical power as a function of time) of pulses 104 within a train. Pulse 104 is an example of one of the pulses that may be part of beam 106. The pulse 104 has a peak power 103 and a finite duration 102. In the example of fig. 1B, pulse duration 102 is the time that pulse 104 has non-zero power. The time that the pulse 104 increases from zero to the peak power 103 is the rise time of the pulse. In other implementations, the pulse duration 102 and/or rise time may be based on other metrics. For example, the pulse duration 102 may be less than the time that the pulse 104 has non-zero power, such as the Full Width Half Maximum (FWHM) of the pulse 104. Similarly, the rise time may be measured between two values other than zero optical power and the peak optical power 103.
In the example shown, the power of the pulse 104 monotonically increases from zero power to the peak power 103 and monotonically decreases from the peak power 103 to zero. Other temporal distributions are also possible. For example, the power of the pulse may increase from zero non-monotonically to the peak power. A pulse may have more than one peak energy point. Also, the pulses in the pulse train that make up the beam 106 may have different temporal profiles.
The beam 106 is directed on an optical path 107 to a vacuum chamber 109 through a beam delivery system 111 comprising one or more optical components 112. Optical component 112 may include any component capable of interacting with light beam 106. Component 112 may also include devices capable of forming and/or shaping pulses 104. For example, optical component 112 may include passive optical devices, such as mirrors, lenses, and/or prisms, as well as any associated mechanical mounting devices and/or electronic drivers. These components may manipulate and/or focus the light beam 106. Additionally, optical components 112 may include components that modify one or more characteristics of light beam 106. For example, optical component 112 may include active optics, such as an acousto-optic modulator and/or an electro-optic modulator, capable of altering the temporal profile of light beam 106 to form pulse 104.
The pulse 104 exits the beam delivery system 111 and enters the vacuum chamber 109. The pulse 104 passes through the aperture 113 of the optical element 114 to the plasma formation location 123. The interaction between the pulse 104 and the target material in the target 121p generates a plasma 196 of emitted light 197. The light 197 includes light having a wavelength corresponding to an emission line of a target material in the target 121 p.
The light 197 includes EUV light 198 and out-of-band light. The out-of-band light is light having a wavelength out of the EUV light range. For example, the target material may include tin. In these implementations, the light 197 includes EUV light 198 and also includes out-of-band light, such as Deep Ultraviolet (DUV) light, visible light, Near Infrared (NIR) light, mid-wavelength infrared (MWIR) light, and/or Long Wavelength Infrared (LWIR) light. The EUV light 198 may include light having a wavelength of, for example, 5 nanometers (nm), 5nm to 20nm, 10nm to 120nm, or less than 50 nm. The DUV light may include light having a wavelength between about 120nm and 300nm, the visible light may include light having a wavelength between about 390nm to 750nm, the NIR light may include light having a wavelength between about 750nm to 2500nm, the MWIR light may have a wavelength between about 3000nm and 5000nm, and the LWIR light may have a wavelength between about 8000nm to 12000 nm.
The optical element 114 has a reflective surface 116, the reflective surface 116 being positioned to receive at least some of the light 197. The reflective surface 116 has a coating that reflects EUV light 198 but does not reflect the out-of-band components of the light 197, or reflects only a nominal amount of the out-of-band components of the light 197. In this way, the reflective surface 116 directs only EUV light 198 to the lithographic apparatus 199.
The EUV source 100 also includes a gas management system 140, the gas management system 140 supplying the gas 122 to the vacuum chamber 109. The gas 122 may be, for example, hydrogen or oxygen. The gas management system 140 may include pumps, valves, and other components for gas management. The gas management system 140 is configured to control various characteristics of the gas 122 supplied to the vacuum chamber, such as, for example, temperature, pressure, and/or flow rate. For example, the gas management system 140 may supply the gas 122 in a controlled manner at a flow rate sufficient to move debris (such as the debris 195) and/or control the temperature and/or pressure of the gas 122 to affect aspects of plasma generation.
The EUV light source 100 further comprises a sensor system 130, the sensor system 130 providing a signal 157 to the control system 150, the signal 157 comprising data related to the monitored emission. As noted above, the monitored emissions may include emissions from the plasma 196, emissions from the gas 122, and/or emissions from the debris 195. The sensor system 130 includes a sensor module 134, the sensor module 134 including one or more sensors 135. Sensor 135 is any detector or sensor capable of detecting or sensing light having an emission wavelength of interest. Thus, in the example of fig. 1A, sensor 135 may be a sensor capable of detecting emissions from plasma 196, a sensor capable of detecting one or more wavelengths emitted from gas 122, and/or a sensor capable of sensing wavelengths of light emitted from debris 195.
In some implementations, the sensor 135 can generate data that includes spatial information about the emissions. For example, the sensors 135 may be a two-dimensional array of sensors, wherein each sensor is configured to sense light emitted from a particular portion of the vacuum chamber 109. Each sensor is fixed and has a known position relative to the portion of the vacuum chamber 109 that the sensor monitors, and therefore the relative position of the sensed emissions can also be determined. In these implementations, the spatial information shows how the emission is distributed in the vacuum chamber 109. The data from the sensor 135 can be used to form a two-dimensional spatial representation (such as an image) of the vacuum chamber 109 (or a portion of the vacuum chamber 109), where the image shows the relative position of the monitored emissions within the vacuum chamber 109.
Moreover, the sensor 135 is capable of producing many two-dimensional spatial representations of the monitored emissions within the vacuum chamber 109 over a period of time. For example, the sensor 135 may be a video sensor that captures frames (images) collected at a frame rate determined by the video sensor. In these implementations, each frame is a representation of the emission in the vacuum chamber 109 at a different time. In another example, the sensor is a camera with an exposure mechanism that allows the sensor to sense emissions over a limited period of time. In these implementations, the data generated by the sensor 135 represents a time average of the emissions in the vacuum chamber 109. The sensor module 134 may include more than one sensor. In these implementations, the sensor 135 is located at different positions relative to a particular region of the vacuum chamber 109, such that the data produced by the sensor 135 can be used to generate a three-dimensional spatial representation of the monitored emissions.
Still further, the sensor system 130 may also include a spectral filter module 137. The spectral filter module 137 includes one or more spectral filters 136. The spectral filter 136 allows control over which particular wavelength or wavelengths the sensor 135 senses. In this way, the specific emission can be separated from the total emission in the vacuum chamber, such that only the emission of interest is monitored. When included in the sensor system 130, the spectral filter 136 is located on the optical path between the sensor 135 and the monitored portion of the interior of the vacuum chamber 109.
Spectral filter 136 is any filter capable of allowing only some wavelengths or specific wavelengths to reach sensor 135 while substantially preventing any other wavelengths from reaching sensor 135. Spectral filter 136 may be, for example, a spectral filter that only allows visible light to reach sensor 135 or a spectral filter that only allows specific wavelengths within the visible spectrum to reach sensor 135. The spectral filter 136 may separate wavelengths based on transmission, reflection, and/or absorption. For example, the spectral filter 136 may be a multi-layer dielectric stack that transmits wavelengths within a band of wavelengths while reflecting or absorbing all other wavelengths. In another example, spectral filter 136 may be a dichroic mirror or a grating that reflects different wavelengths in different directions.
The spectral filter module 137 may include more than one spectral filter 136. For example, in some implementations, the sensor module 134 includes more than one sensor 135 and the spectral filter module 137 includes a spectral filter 136 for each of the sensors.
The EUV light source 100 also includes a control system 150, which control system 150 uses information from the sensor system 130 to analyze emissions in the vacuum chamber 109. The control system 150 also provides command signals 159 to the light generation module 105, the target supply system 110, the gas management system 140, and/or the beam delivery system 111, the command signals 159 being generated based on information about the emission in the vacuum chamber 109.
The control system 150 includes an analysis module 152. The analysis module 152 analyzes the information from the sensor system 130 and determines whether to adjust the beam 106 and/or the vacuum chamber 109 based on the analysis. The operation of the control system 150 and the analysis module 152 is also discussed with respect to FIG. 3. In the example of FIG. 1A, the analysis module 152 of the control system 150 is implemented using an electronic processor 154, an electronic storage device 156, and an I/O interface 158. The electronic processor 154 includes one or more processors suitable for executing computer programs, such as general or special purpose microprocessors, and any one or more processors of any kind of digital computer. Generally, an electronic processor receives instructions and data from a read-only memory, a Random Access Memory (RAM), or both. The electronic processor 154 may be any type of electronic processor. The electronic processor 154 executes instructions that make up the analysis module 152.
The electronic storage 156 may be volatile memory, such as RAM, or non-volatile memory. In some implementations, the electronic storage 156 includes non-volatile and volatile portions or components. The electronic storage 156 may store data and information for operating the control system 150. For example, the electronic storage 156 may store instructions (e.g., in the form of a computer program) that implement the analysis module 152. Analysis module 152 receives information from sensor system 130 and may also receive information from light generation module 105, gas management system 140, supply system 110, and/or beam delivery system 111.
Electronic storage 156 may also store instructions (perhaps as a computer program) that, when executed, cause electronic processor 154 to communicate with components in light generation module 105, gas management system 140, beam delivery system 111, supply system 110, and/or sensor system 130. For example, the instructions may be instructions that cause the electronic processor 154 to provide the command signal 159 generated by the analysis module 152 to the light generation module 105, the gas management system 140, the supply system 110, and/or the beam delivery system 111.
Command signal 159 is a signal that causes light generation module 105 and/or components in beam delivery system 111 to act in a manner that adjusts beam 106 or a signal that causes gas management system 140 to adjust a characteristic of gas 122. For example, the command signal 159 may be a signal that includes a signal sufficient to cause valves and/or pumps in the gas management system 140 to begin operation, stop operation, or continue but operate in a different manner. In another example, command signal 159 is a signal that is capable of adjusting characteristics of target supply system 110 that change the rate at which targets reach plasma formation location 123. In this example, the command signal 159 may be a signal that includes information sufficient to cause the target forming device 117 to vibrate at different rates, which causes the size and/or rate of the target reaching the plasma formation location 123 to change. In yet another example, command signal 159 is a signal operative on light generation module 105 and/or optical beam delivery system 111 to change a characteristic of optical beam 106. For example, command signal 159 may be a signal sufficient to cause movement of a mirror in beam delivery system 111 or a signal sufficient to adjust the operation of an electro-optic modulator in beam delivery system 111.
The I/O interface 158 is any kind of interface that allows the control system 150 to exchange data and signals with an operator, the light generation module 105, one or more components of the light generation module 105, the lithographic apparatus 199, and/or an automated process running on another electronic device. For example, in some implementations, analysis module 152 may be programmed by an end user to include end user specific analysis. In these implementations, the analysis module may be programmed through the I/O interface 158. I/O interfaces 158 may include one or more of the following: a visual display, a keyboard, and a communications interface, such as a parallel port, a Universal Serial Bus (USB) connection, and/or any type of network interface, such as, for example, ethernet. The I/O interface 158 may also allow communication without physical contact through, for example, an IEEE 802.11, bluetooth, or Near Field Communication (NFC) connection.
Referring to fig. 2, a block diagram of an EUV light source 200 is shown. The EUV light source 200 is another example of an implementation of an EUV light source. The EUV light source 200 is the same as the EUV light source 100 (fig. 1A), except that the EUV light source 200 uses a light generation module 205, which light generation module 205 comprises a first light source 208_1 emitting a first light beam 206_1 and a second light source 208_2 emitting a second light beam 206_ 2. Pulse 204_1 is a pulse of first beam 206_1 and pulse 204_2 is a pulse of second beam 206_ 2. Pulse 204_2 may be referred to as a "pre-pulse" beam, while pulse 204_1 may be referred to as a "main pulse" beam.
The EUV light source 200 includes an optical element 114, but for simplicity, fig. 2 shows only the aperture 113 of the optical element 114. The pulse 204_2 propagates along the beam path 207_2, through the aperture 113 of the optical element 114, and is delivered to the initial target region 224 via the beam delivery system 211_ 2. The initial target area 224 receives the initial target 221p from the provisioning system 110. The initial target area 224 is displaced in the-x direction relative to the plasma formation location 123.
The pulse 204_2 interacts with the target 221p at the initial target region 224 to adjust the target 221p and form a modified target 221 m. The modulation may enhance the ability of the target 221p to absorb the pulse 204_ 1. For example, although the EUV emitting plasma 196 is not generally generated at the initial target region 224, the interaction between the pulse 204_2 and the target 221p may change the shape, volume, and/or size of the distribution of the target material in the initial target 221p and/or may reduce the density gradient of the target material along the direction of propagation of the main pulse 204_ 1. Moreover, the interaction between the pulse 204_2 and the initial target 221p may produce a pre-plasma or plasma that does not necessarily emit EUV light. The modified target 221m may be, for example, a disk-like distribution of target material having an extent in the x-y plane greater than the target 221p and an extent along the z-axis less than the target 221 p. The modified target 221m drifts to the plasma formation location 123 and is irradiated by pulse 204_1 to form plasma 196.
In the implementation of fig. 2, control system 150 is coupled to light source 208_2 and beam delivery system 211_2 such that control system 150 can be used to control the characteristics of second light beam 206_2 (or a subsequent pulse or successor pulse of light beam 206_ 2). For example, control system 150 may adjust the pulse energy of the subsequent pulse of beam 206_2, the position of the subsequent pulse of beam 206_2 relative to the expected location of target 221p, and/or the duration of the subsequent pulse of beam 206_ 2. In this way, the control system 150 may be used to control the adjusted parameters of the initial target 221 p. Control system 150 is also coupled to light source 208_1 and beam delivery system 211_1, and can be used to control characteristics of light beam 206_1 (or pulses of light beam 206_ 1). Further, control system 150 is coupled to gas management system 140 and is capable of adjusting one or more characteristics of gas 122.
The light sources 208_1 and 208_2 may be, for example, two lasers. For example, the light sources 208_1, 208_2 may be two carbon dioxide (CO)2) A laser. In other implementations, the light sources 208_1, 208_2 may be different types of lasers. For example, the light source 208_2 may be a solid state laser and the light source 208_1 may be CO2A laser. In the example of fig. 2, first light beam 206_1 and second light beam 206_2 are pulsed light beams. The first light beam 206_1 and the second light beam 206_2 may have different wavelengths. For example, the light sources 208_1 and 208_2 comprise two COs2In laser implementations, the wavelength of the first beam 206_1 may be about 10.26 microns (μm), while the wavelength of the second beam 206_2 may be between 10.18 μm and 10.26 μm. The wavelength of the second light beam 206_2 may be about 10.59 μm. In these implementations, the beams 206_1, 206_2 are from CO2Different lines of lasers are generated, resulting in beams 206_1, 206_2 having different wavelengths, even though both beams 206_1, 206_2 are generated by the same type of source.
Pulses 204_1 and 204_2 have different energies and may have different durations. For example, the duration of the pre-pulse 204_2 may be at least 1ns, e.g., the pre-pulse duration may be 1nns to 100ns and the wavelength may be 1 μm or 10 μm. In one example, the pre-pulse of radiation is a laser pulse having an energy of 15mJ to 60mJ, a pulse duration of 20 nanoseconds to 70 nanoseconds (ns), and a wavelength of 1 micrometer to 10 micrometers (μm). In some examples, the duration of the pre-pulse may be less than 1 ns. For example, the duration of the pre-pulse may be 300 picoseconds (ps) or less, 100ps or less, between 100ps and 300ps, or between 10ps and 100 ps.
Each of beam delivery systems 211_1 and 211_2 is similar to beam delivery system 111 (FIG. 1A). In the example of fig. 2, first light beam 206_1 and second light beam 206_2 interact with separate beam delivery systems and travel on separate optical paths. However, in other implementations, first light beam 206_1 and second light beam 206_2 may share all or a portion of the same optical path, and may also share the same beam delivery system.
Referring to fig. 3, a flow chart of a process 300 is shown. The process 300 is an example of a process that may be performed by the control system 150.
Light emitted from the substance in the vacuum chamber 109 is detected (310). The emitted light is detected by sensor 135. The substance may be an atom, ion and/or molecule. The species may be part of the gas 122, the plasma 196, and/or the debris 195. The light emitted from the substance may be fluorescence or laser induced fluorescence. The emitted light is detected by sensor 135. The sensor 135 generates data indicative of characteristics of the emitted light. For example, the data may indicate the intensity of the emitted light. In some implementations, the data indicates the relative position of the emitted light in the vacuum chamber 109. In these implementations, the data may be used to form a two-dimensional representation, such as an image. Also, in some implementations, the sensor module 134 includes more than one sensor 135. The one or more sensors 135 can be positioned relative to a particular portion of the vacuum chamber 109. In these implementations, the data from the sensors 135 can be used together to form a stereoscopic representation that represents the spatial distribution of light emission in the vacuum chamber 109 in three dimensions.
In some implementations, the sensor 135 collects data over a relatively short period of time (e.g., a period of 20 microseconds (μ β) or less, such as 10 nanoseconds (ns) or less) such that the detected emissions are associated with a single plasma generation event. These implementations allow for pulse-by-pulse variation of one or more components of the EUV light source 100 or 200. Also, monitoring over a relatively short period of time allows for the generation of fast time resolved images, such as shown in fig. 4A-4D and 5. In other implementations, the sensor 135 collects data over a longer period of time such that detected emissions are associated with more than one plasma generation event.
An indication of the detected emissions is analyzed (320). The indication is data received from the sensor system via signal 157. The signal 157 includes information describing the emission, such as the strength of the detected emission. In some implementations, the signal 157 includes location information about the detected emissions. For example, signal 157 may include a readout of a two-dimensional array of sensors 135, wherein the emission intensity detected by each sensor in the array is included in signal 157. Based on such information, the control system 150 determines the relative location of the detected emissions.
As discussed above, in some implementations, the sensor system 130 includes a spectral filter module 137 and one or more spectral filters 136. In these implementations, spectral filter 136 determines which wavelengths reach one or more sensors 135. The spectral filter 136 may include a filter designed to allow only wavelengths associated with H-alpha emissions to reach the sensor 150. In these implementations, the signal 157 may include data indicating that the particular signal 157 includes information associated with the detected H-alpha emission.
Still further, the signal 157 may include data related to the conditions under which the transmission was generated. For example, the signal 157 may include information about the sensor, such as exposure time. In another example, the signal 157 may include information about the environment in the vacuum chamber. Examples of such environmental information include the flow rate, pressure, and/or temperature of the gas 122 and information about the light beam 106, such as pulse duration, pulse energy, and/or pulse wavelength.
The analysis module 152 of the control system 150 can perform a variety of analyses on the indications of the detected emissions. The various analyses may be stored on the electronic storage 156 as, for example, a computer program executable by the electronic processor 154. Any type of analysis of the detected emissions may be performed. Specific examples of data and corresponding analysis of the data are discussed with respect to fig. 4A-4D and 5. Other analyses in addition to those discussed in these examples may be performed by analysis module 152.
Further, referring also to fig. 4A to 4D, examples of laser induced fluorescence in which the emission is neutral atomic tin are shown. In this example, tin is used as the target material, and the neutral atomic tin may be tin fragments and/or tin that are not converted to plasma 196. In the example of fig. 4A-4D, the sensor 135 is a camera that images the plasma formation location 123 and produces a two-dimensional image of the vacuum chamber 109.
In the example of fig. 4A-4D, the sensor 135 is an enhanced charge coupled device (ICCD) with an exposure time of about 10 nanoseconds (ns), the spectral filter 136 is placed between the sensor 135 and the plasma formation location 123, and laser induced fluorescence is formed by exciting neutral tin atoms using the laser beam 115 from the detection laser 108. In this example, the detection laser 108 is a tunable laser and the laser beam 115 is a pulsed beam, where the duration of the pulse is a few nanoseconds (e.g., 10ns or less). Additionally, the detection laser 108 is tuned such that the laser beam 115 has a wavelength of 286.3nm, which excites the neutral atomic tin in the ground state. A certain fraction or percentage of neutral tin atoms decays via electron transition emitting light at 317.5nm (laser induced fluorescence). In this example, the spectral filter 136 is a bandpass filter centered at 317.5 nm. Additionally, fig. 4A-4D relate to a system using a pre-pulse and a main pulse. Accordingly, these figures are discussed with respect to fig. 2.
Fig. 4A is an image 400A of laser induced fluorescence from neutral tin in the vacuum chamber 109 200 nanoseconds (ns) after the pre-pulse (pulse 204_2 of fig. 2) interacts with the initial target 221p (fig. 2). Fig. 4B is an image 400B of laser induced fluorescence from neutral tin in the vacuum chamber 109 at 1900ns after the pre-pulse interacts with the initial target 221 p. Fig. 4C is an image 400C of laser induced fluorescence from neutral tin in the vacuum chamber 109 300ns after the main pulse (pulse 204_1 of fig. 2) interacts with the modified target 220m (fig. 2). Fig. 4D is an image 400D of laser induced fluorescence from neutral tin in the vacuum chamber 109 at 900ns after the main pulse interacts with the modified target 220 m. Each pixel of each image 400A-400D represents the amount of laser-induced fluorescence in a particular region of the vacuum chamber 109. The interaction between the main pulse and the modified target 220m is a plasma generation event.
The analysis module 152 determines the amount of tin ionized by the interaction by analyzing the images 400A-400D to determine the intensity of certain spectral lines and the relative intensities of these lines. For example, the emission intensity from neutral tin can be compared to the intensity of singly or doubly ionized tin to determine the atomic fraction of ionized target material after a plasma generating event. The emission intensity is proportional to the number of tin atoms (neutral tin atoms in the examples of fig. 4A to 4D). Thus, if the emission intensity from neutral tin atoms decreases while the intensity from the ionic species increases, this is evidence of changing the fraction of ionization.
Other features of the images 400A-400D may be analyzed. For example, the spatial distribution of intensity may be analyzed to estimate the distance traveled by the neutral tin atoms and/or the velocity of the neutral tin atoms. For example, as shown in fig. 4D, the distance traveled from the origin (the location of the interaction between the main pulse and the modified target 220 m) and the elapsed time (in this example, 900ns after the interaction) give the velocity of those neutral tin atoms.
Still further, the azimuth angle of the fluorescence light indicates the angle or azimuth of the modified target 220m with respect to the propagation direction of the main pulse, and the azimuth angle of the fluorescence light changes as the azimuth of the modified target 220m changes. Accordingly, the orientation of the modified target 220m may also be determined from images, such as images 400A-400D.
The analysis module 152 also determines other information about the emissions from the images 400A-400D. For example, the analysis module 152 may apply morphological operators to identify the annular structure 401 in the image 400C. The toroidal structure 401 expands in space over time since the plasma generating event has passed. The analysis module 152 also identifies the annular structure 401 in the image 400D. By comparing the spatial characteristics of the ring-shaped structure 401 in the image 400C and the ring-shaped structure 401 in the image 400D, the velocity of the tin atoms can be estimated. For example, knowing the amount of time between images 400C and 400D, the radii and/or diameters of the ring structures 401 in images 401C and 401D may be compared and used to estimate the velocity of the tin atoms. Also, in some implementations, the velocity of the tin atoms is determined from a single image. For example, when the time from the interaction of the main pulse and the modified target 220m is known for this single image, the velocity of the tin atoms can be determined from the single image. When the velocity of the tin atoms is determined from two or more images, the velocity change of the tin atoms can also be determined.
Still further, morphological operators may be used to determine the orientation of the annular structure 401. The orientation of the ring structure provides an indication of the orientation of the modified target 220 m. For example, after identifying the ring structure 401, the major and minor axes of the ring structure 401 may be estimated, and the orientation of the ring structure 401 may be estimated from these axes.
Images 400A-400D are provided as examples of data that sensor system 130 may provide to control system 150. Other types of laser induced fluorescence can be monitored. For example, an image showing laser induced fluorescence of ions of the target material formed during a plasma generation event may be generated and provided to the control system 150. In another example, emissions from the gas 122 are analyzed. By forming plasma 196 or by detecting direct excitation of laser 108, gas 122 may emit light due to heat in vacuum chamber 109, e.g., from pulse 104 (or pulse 204_1 and/or pulse 204_2 of fig. 2) and/or ions moving in gas 122. Fig. 5 shows an example relating to analyzing emissions from the gas 122 to determine the amount of energy deposited into the gas 122 as a result of a plasma generation event.
In the example of fig. 5, gas 122 is hydrogen gas and sensor 135 is a camera that produces a two-dimensional image of plasma formation location 123. The light pulse converts at least some of the target material into a plasma that emits EUV light. In the example of fig. 5, the pulse energy is 860 millijoules (mJ), the wavelength of the pulse is 10 μm, and the duration of the pulse is 10 ns. The target was a tin droplet with a radius of about 50 μm. In this implementation, spectral filter 136 is a band pass filter having a narrow spectral band centered at the H-alpha emission wavelength and is placed between plasma formation location 123 and sensor 135. Thus, the H-alpha emission reaches sensor 135, but other wavelengths of light are substantially prevented from reaching sensor 135.
Fig. 5 shows four two-dimensional images 500A to 500D (of more images taken). Each of the images 500A-500D is obtained at a different time. Thus, images 500A-500D are images of the relative intensity or amount of H-alpha emission at the plasma formation location 123 at four different times.
Analysis module 152 is configured to analyze the images, such as 500A-500D, to determine spatial characteristics of the shockwave or blast 504. A blast wave 504 is formed in the gas 122 by the plasma generating event. Spatial characteristics may include, for example, a radius, a diameter, an orientation of a semi-major axis, an orientation of a minor axis, and/or a perimeter of blast wave 504. Analysis module 152 positions blast wave 504 in one or more images collected by the camera by applying morphological operators and imaging processing techniques to the images. For example, the overall shape of blast wave 504 is known to be circular, and analysis module 152 may apply a morphological filter that detects circular objects within the image to position blast wave 504 in the image from the camera. In another example, analysis module 152 may apply an edge detector that relies on intensity differences between emissions at the edge of shockwave 504 and the background.
Once the spatial signature of the blast wave 504 is estimated, the analysis module 152 applies the Taylor-Sedov equation to estimate the amount of energy (E) deposited into the gas 122. The taylor-seedoff equation is as follows:
Figure BDA0003040811950000201
where E is the energy deposited into the gas 122, r is the radius of the detonation wave, ρoTo the density of the gas 122, t-to is the time since the plasma generating event. The radius (r) at a specific time (t) is estimated from the image of the plasma formation location 123 captured at the time (t). Analysis module 152 uses equation 1 and an estimate of the radius of explosive wave 504 at a particular time (t) to estimate the amount of energy deposited into gas 122.
The analysis module 152 may also determine other information from the images 500A-500D. For example, fig. 5 also includes a plot of total H-alpha emission over time since the plasma generation event. To generate graph 501, the values of each pixel in the image collected by the camera at a particular time are summed and normalized. The results are plotted as a function of time. The images 500A to 500D correspond to four points of the points included on the graph 501.
The data shown in fig. 4A-4D are examples of the types of data that the sensor system 130 may provide to the control system 150 via the signal 157. However, the sensor system 130 may be configured to collect any other data regarding the emission in the vacuum chamber 109, and the analysis module 152 may also be configured to analyze such data. For example, in some implementations, the plasma formation location 123 is monitored by more than one sensor 135, each sensor 135 having a spectral filter 136 corresponding to a particular emission line of the target material or gas 122. In these implementations, the data provided by each sensor 135 specifies a spatial distribution of one of the emission lines of the species at the plasma generation location 123 under the same operating conditions. The measured emissions from each sensor are compared to the emissions measured by the other sensors to determine characteristics of the environment in the vacuum chamber 109. For example, where different possible emissions from the target material are compared, such comparison yields an estimate of the portion of the target material that is ionized to form plasma 196.
Still further, the analysis module 152 may be configured to compare the spatial distribution of a certain type of emission at two different times after a plasma event. For example, in implementations where tin is used as the target material, sensor 135 may be used with filter 136 that only allows emissions from the ionized tin to reach sensor 135. By comparing the images of the emission of ionized tin taken at different times, the analysis module 152 can estimate the velocity and/or direction of motion of the tin ions.
Thus, the analysis module 152 analyzes information and data from the sensor system 130.
In addition to analyzing the data provided from the sensor system 130, the control system 150 also determines whether to adjust the EUV light source 100 or 200 based on the analysis (330). The adjustment to the EUV light source 100 or 200 may be an adjustment to any component of the EUV light source 100 or 200, and may include an adjustment to one or more components of the EUV light source 100 or 200. Whether adjustments are made and the nature of the adjustments, if any, depend on the results of the analysis discussed with respect to (320).
The EUV light source 100 or 200 may be associated with various performance specifications, and emission analysis may be used to determine whether the EUV light source 100 or 200 is operating within one or more performance specifications. Conversion Efficiency (CE) is an example of a performance specification. The conversion efficiency is a proportion of energy supplied to the EUV light source 100 or 200 that is converted into EUV light. CE depends on the fraction of ionization (the fraction of the target material converted to ions). As discussed above, analysis of the emissions may be used to estimate the fraction of ionization. To increase the fraction of ionization, the duration and/or energy of the pulses in the beam 106 can be increased. Thus, if the CE is below the specified CE, the control system 150 may issue a command signal 159 to the light generation module 105 to change the duration and/or intensity of the pulse in the light beam 106.
In another example, the control system 150 may issue a command signal 159 (fig. 2) to the light generation module 205, causing the characteristics of the pre-pulse 204_2 to be changed. As discussed above, the pre-pulse 204_2 adjusts the target by changing the shape and/or density of the target, such that the modified target 221m (fig. 2) is more favorable for plasma generation. The light generation module 205 may be adjusted such that the intensity and/or duration of the pre-pulse 204_2 is such that the modified target 211m produced later has a lower density and/or a different shape. Also, in some implementations, the control system 150 issues a command signal to the beam steering system 211_1 to change the position of the pre-pulse 204_2 relative to the initial target position 224. Still further, the size of the targets in stream 121 may be adjusted to reduce the number of ionized fractions. In these implementations, command signals 159 are provided to the target supply system 110 to, for example, change the vibration frequency of the target forming device 117 such that the size of the target in stream 121 is reduced.
In another example, analysis of the emissions produces an estimated ion velocity that is greater than the desired ion velocity. In this example, the control system 150 issues a command signal 159 to the gas management system 140. The gas management system 140 causes the pressure of the gas 122 to increase such that ions generated in subsequent plasma generation events have a lower velocity. In yet another example, the analysis of the emissions shows a relatively large number of tin atoms at a relatively short time after the plasma generation event. The relatively large number of tin atoms shortly after the plasma generation event is an indication of excessive debris in the vacuum chamber 109. The control system 150 may issue a command signal 159 to the gas management system 140 to increase the flow rate of the gas 122 and/or change the flow direction of the gas 122 to move debris away from the optical element 114.
In yet another example, analysis of the emissions is used to generate an estimate of the energy deposited into the gas 122. The estimated amount of energy is compared to a threshold and/or specification (e.g., a range of acceptable amounts of energy), and if the estimated amount of energy is above the threshold and/or does not meet the threshold, the control system 150 may issue a command to the light generation module 205 to reduce the power of the pre-pulse 204_ 2. Reducing the power of the pre-pulse 204_2 generally reduces the amount of ions and/or pre-pulse plasma generated during the interaction between the pre-pulse 204_2 and the initial target 221p, thereby reducing the amount deposited into the gas 122.
In some implementations, the control system 150 issues a command signal 159 to one or more components or systems of the EUV light source 100 or 200. For example, to increase the number of ionized copies, the control system 150 may issue command signals 159 to the light generation module 105 or 205, the target supply system 110, and the gas management system 140. Also, in some cases, all performance specifications are met and/or the EUV light source 100 is operating in an acceptable manner and no adjustments are made.
After determining whether to adjust the EUV light source 100 or 200, the control system 150 determines whether to continue monitoring the vacuum chamber 109 (340). If monitoring continues, process 300 returns to (310). If monitoring is not to continue, process 300 ends. Moreover, in some implementations, the process 300 runs continuously during operation of the EUV light source 100 or 200 such that the control system continuously monitors the EUV light source 100 or 200. In these implementations, the control system 150 does not determine whether to continue monitoring the vacuum chamber 109, but instead continuously and uninterruptedly monitors the vacuum chamber 109 during operation of the EUV light source 100 or 200.
FIG. 6A is a block diagram of a lithographic apparatus 600 that includes a source collector module SO. The lithographic apparatus 600 includes:
an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. EUV radiation).
A support structure (e.g. a mask table) MT constructed to support a patterning device (e.g. a mask or reticle) MA and connected to a first positioner PM configured to accurately position the patterning device;
a substrate table (e.g. a wafer table) WT constructed to hold a substrate (e.g. a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate; and
a projection system (e.g. a reflective projection system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.
The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.
The support structure MT holds the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The support structure may use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device. The support structure may be, for example, a frame or a table, which may be fixed or movable as required. The support structure may ensure that the patterning device is at a desired position, for example with respect to the projection system.
The term "patterning device" should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. The pattern imparted to the radiation beam may correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit.
The patterning device may be a transmissive patterning device or a reflective patterning device. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in the radiation beam which is reflected by the mirror matrix.
Like illumination system IL, projection system PS can include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, depending on the exposure radiation being used or other factors, such as the use of a vacuum. It may be desirable to use a vacuum for EUV radiation since other gases may absorb too much radiation. Thus, a vacuum environment can be provided to the entire optical path by means of the vacuum wall and the vacuum pump.
In the example of fig. 6A and 6B, the apparatus is a reflective apparatus (e.g., employing a reflective mask). The lithographic apparatus may be of a type having two (dual stage) or more substrate tables (and/or two or more patterning device tables). In such "multiple stage" machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure.
Referring to fig. 6A, the illuminator IL receives an euv radiation beam from a source collector module SO. Methods of producing EUV light include, but are not limited to, converting a material into a plasma state having at least one element (e.g., xenon, lithium, or tin) having one or more emission lines in the EUV range. In one such method, commonly referred to as laser produced plasma ("LPP"), a desired plasma is produced by irradiating a fuel, such as droplets, streams or clusters of a material having a desired line emitting element, with a laser beam. The source collector module SO may be part of an EUV radiation system comprising a laser (not shown in fig. 6A) for providing a laser beam for exciting the fuel. The resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector disposed in the source collector module. The laser and source collector module may be separate entities, for example, when carbon dioxide (CO)2) The laser is used to provide a laser beam for fuel excitation.
In such cases, the laser is not considered to form part of the lithographic apparatus and the radiation beam is passed from the laser to the source collector module by means of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander. In other cases, the source may be an integral part of the source collector module, for example, when the source is a discharge-producing plasma EUV generator (commonly referred to as a DPP source).
The illuminator IL may include an adjuster configured to adjust the angular intensity distribution of the radiation beam. Generally, at least an outer radial extent (radial extent) and/or an inner radial extent (commonly referred to as σ -outer and σ -inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL may include various other components, such as a faceted field mirror apparatus and a faceted pupil mirror apparatus. The illuminator IL may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross-section.
The radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., mask table) MT and is patterned by the patterning device. After being reflected by the patterning device (e.g. mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor IF (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor PS1 can be used to accurately position the patterning device (e.g. mask) MA with respect to the path of the radiation beam B. Patterning device (e.g., mask) MA and substrate W may be aligned using patterning device alignment marks M1, M2 and substrate alignment marks P1, P2.
The depicted apparatus can be used in at least one of the following modes:
1. in step mode, the support structure (e.g. mask table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure). The substrate table WT is then shifted in the X-direction and/or the Y-direction so that a different target portion C can be exposed.
2. In scan mode, the support structure (e.g. mask table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure). The velocity and direction of the substrate table WT relative to the support structure MT (e.g. mask table) may be determined by the (de-) magnification and image reversal characteristics of the projection system PS.
3. In another mode, the support structure (e.g. mask table) MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C. In this mode, the pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.
Combinations and/or variations on the above described modes of use or entirely different modes of use may also be employed.
FIG. 6B shows an implementation of the lithographic apparatus 600 in more detail, the lithographic apparatus 600 comprising the source collector module SO, the illumination system IL, and the projection system PS. The source collector module SO is constructed and arranged such that a vacuum environment may be maintained in the enclosure 620 of the source collector module SO. The systems IL and PS are also housed in their own vacuum environment. The EUV radiation of the emitting plasma 2 can be formed by a laser-produced LPP plasma source. The function of the source collector module SO is to pass the EUV radiation beam 20 from the plasma 2 such that it is focused in a virtual source point. The virtual source point is commonly referred to as the Intermediate Focus (IF), and the source collector module is arranged such that the intermediate focus IF is located at or near the aperture 621 in the enclosing structure 620. The virtual source point IF is an image of the radiating plasma 2.
From the aperture 621 at the intermediate focus IF, the radiation traverses an illumination system IL, which in this example comprises a faceted field mirror device 22 and a faceted pupil mirror device 24. These devices form a so-called "fly's eye" illuminator that is arranged to provide a desired angular distribution of the radiation beam 21 at the patterning device MA and a desired uniformity of radiation intensity at the patterning device MA (as indicated by reference numeral 660). After reflecting the beam 21 at the patterning device MA, which is held by the support structure (mask table) MT, a patterned beam 26 is formed, and the projection system PS images the patterned beam 26 via reflective elements 28, 30 onto a substrate W held by the substrate table WT. To expose a target portion C on the substrate W, pulses of radiation are generated while the substrate table WT and patterning device table MT perform synchronized movements to scan a pattern on the patterning device MA through an illumination slit.
Each system IL and PS is disposed within its own vacuum or near-vacuum environment defined by an enclosure similar to enclosure 620. There may typically be more elements in the illumination system IL and the projection system PS than shown. Further, there may be more mirrors than shown. For example, in addition to the reflective elements shown in FIG. 6B, there may be one to six additional reflective elements in illumination system IL and/or projection system PS.
Considering the source collector module SO in more detail, the laser energy source comprising the laser 623 is arranged to deposit laser energy 624 into the fuel comprising the target material. The target material may be any material that emits EUV radiation in a plasma state, such as xenon (Xe), tin (Sn), or lithium (Li). The plasma 2 is a highly ionized plasma having an electron temperature of several tens of electron volts (eV). Energetic radiation generated during de-excitation and recombination of these ions is emitted from the plasma, collected by a near normal incidence collector 3 and focused on an aperture 621, the plasma 2 and the aperture 621 are located at a first focus and a second focus, respectively, of the collector CO.
Although the collector 3 shown in fig. 6B is a single curved mirror, the collector may take other forms. For example, the collector may be a Schwarzschild collector having two radiation collecting surfaces. In one embodiment, the collector may be a grazing incidence collector comprising a plurality of substantially cylindrical reflectors nested within one another.
For delivering fuel, e.g. liquid tin, a droplet generator 626 is arranged within the enclosing structure 620, which droplet generator 626 is arranged to excite a high frequency stream 628 of droplets towards a desired position of the plasma 2. Drop generator 626 may be target forming device 216 and/or include an adhesive such as adhesive 234. In operation, laser energy 624 is delivered in a manner synchronized with the operation of drop generator 626 to deliver an impact of radiation to convert each fuel drop into plasma 2. The transmission frequency of the droplets 2 may be several kilohertz, e.g. 50 kHz. In practice, the laser energy 624 is delivered in at least two pulses: a pre-pulse with limited energy is delivered to the droplets before reaching the plasma location in order to evaporate the fuel material into a small cloud, and then a main pulse of laser energy 624 is delivered to the cloud at the desired location to generate the plasma 2. Traps 630 are provided on opposite sides of the containment structure 620 to trap fuel that is not converted to plasma anyway.
Drop generator 626 includes an accumulator 601 and a filter 669 that contain a fuel liquid (e.g., molten tin) and a nozzle 602. The nozzle 602 is configured to eject droplets of the fuel liquid toward the plasma 2 formation position. Droplets of fuel liquid may be ejected from nozzle 602 by a combination of pressure within accumulator 601 and vibration applied to the nozzle by a piezoelectric actuator (not shown).
As will be appreciated by those of ordinary skill in the art, reference axes X, Y and Z are defined for purposes of measuring and describing the geometry and behavior of the device, its various components, and the beams of radiation 20, 21, and 26. At each part of the device, a local frame of reference of the X, Y, Z axis may be defined. In the example of fig. 6B, the Z axis is substantially coincident with the directional optical axis O at a given point in the system and is substantially perpendicular to the plane of the patterning device (reticle) MA and to the plane of the substrate W. In the source collector module, the X-axis is generally aligned with the direction of fuel flow 628, while the Y-axis is orthogonal to the direction out of the page as indicated in FIG. 6B. On the other hand, in the vicinity of the support structure MT holding the reticle MA, the X axis is substantially transverse to the scan direction aligned with the Y axis. For convenience, in this region of the schematic view of fig. 6B, the X-axis is directed out of the page, as also labeled. These representations are known in the art and will be employed herein for convenience. In principle, any reference frame may be chosen to describe the device and its behavior.
Although not illustrated herein, there are many additional components in a typical apparatus that are used in the operation of the source collector module and the lithographic apparatus 600 as a whole. These additional components include arrangements for reducing or mitigating the effects of contamination within the enclosed vacuum, for example, to prevent deposits of fuel material from damaging or impairing the performance of the trap 3 and other optics. Other features that are present but not described in detail are all sensors, controllers and actuators involved in controlling the various components and subsystems of the lithographic apparatus 600.
Referring to fig. 7, an implementation of an LPP EUV light source 700 is shown. The light source 700 can be used as a source collector module SO in the lithographic apparatus 600. Still further, the light generation module 105 of FIG. 1 may be part of a drive laser 715. The drive laser 715 may be used as the laser 623 (fig. 6B).
The LPP EUV light source 700 is formed by illuminating a target mixture 714 at a plasma formation location 705 with an amplified light beam 710 traveling along a beam path toward the target mixture 714. The target material discussed with respect to fig. 1A, 2, and 3 and the target in stream 121 in fig. 1A and 2 may be or include a target mixture 714. The plasma formation location 705 is within the interior 707 of the vacuum chamber 730. When the amplified light beam 710 strikes the target mixture 714, the target material within the target mixture 714 is converted to a plasma state having elements with emission lines in the EUV range. The plasma generated has certain characteristics that depend on the composition of the target material within the target mixture 714. These characteristics may include the wavelength of EUV light produced by the plasma and the type and amount of debris released from the plasma.
The light source 700 further comprises a supply system 725 that delivers, controls and directs the target mixture 714 in the form of liquid droplets, streams, solid particles or clusters, solid particles contained within liquid droplets or solid particles contained within liquid streams. Target mixture 714 includes a target material such as, for example, water, tin, lithium, xenon, or when converted to a plasma stateAny material having an emission line in the EUV range. For example, elemental tin may be used as pure tin (Sn); as tin compounds, for example, SnBr4、SnBr2、SnH4(ii) a As the tin alloy, for example, a tin-gallium alloy, a tin-indium-gallium alloy, or any combination of these alloys. The target mixture 714 may also include impurities, such as non-target particles. Thus, the target mixture 714 consists of only the target material without impurities. The target mixture 714 is delivered by the supply system 725 into the interior 707 of the chamber 730 and to the plasma formation location 705.
The optical source 700 includes a driven laser system 715 that generates an amplified light beam 710 due to population inversion within one or more gain media of the laser system 715. Light source 700 includes a beam delivery system between laser system 715 and plasma formation location 705 that includes a beam delivery system 720 and a focusing assembly 722. The beam delivery system 720 receives the amplified light beam 710 from the laser system 715, and manipulates and modifies the amplified light beam 710 as desired and outputs the amplified light beam 710 to the focusing assembly 722. The focusing assembly 722 receives the amplified light beam 710 and focuses the light beam 710 to the plasma formation location 705.
In some implementations, the laser system 715 can include one or more optical amplifiers, lasers, and/or lamps to provide one or more main pulses, and in some cases one or more pre-pulses. Each optical amplifier includes a gain medium capable of optically amplifying a desired wavelength with high gain, an excitation source, and internal optics. The optical amplifier may or may not have a laser mirror or other feedback device that forms the laser cavity. Thus, even in the absence of a laser cavity, laser system 715 produces amplified light beam 710 due to population inversion in the gain medium of the laser amplifier. Also, if a laser cavity is present to provide sufficient feedback to laser system 715, laser system 715 can generate amplified light beam 710 as a coherent laser beam. The term "amplified light beam" encompasses one or more of the following: light from the laser system 715 that is only amplified and not necessarily coherent laser oscillation, and light from the laser system 715 that is amplified and also coherent laser oscillation.
The optical amplifier in the laser system 715 may include a fill gas comprising CO as the gain medium2And light having a wavelength between about 9100nm and about 11000nm (particularly at about 10600nm) may be amplified with a gain of greater than or equal to 800 times. Suitable amplifiers and lasers for laser system 715 may include pulsed laser devices, e.g., pulsed gas discharge CO2Laser apparatus, for example by DC or RF excitation, operating at relatively high power (e.g. 10kW or higher) and high pulse repetition rate (e.g. 40kHz or higher) produces radiation of about 9300nm or about 10600 nm. The pulse repetition rate may be, for example, 50 kHz. The optical amplifier in the laser system 715 may also include a cooling system, such as water, which may be used when operating the laser system 715 at higher power.
The light source 700 includes a collector mirror 735 having an aperture 740 to allow the amplified light beam 710 to pass through to the plasma formation location 705. Collector mirror 735 may be, for example, an elliptical mirror having a primary focus at plasma formation location 705 and a secondary focus (also referred to as an intermediate focus) at intermediate location 745, where EUV light may be output from light source 700 and may be input into, for example, an integrated circuit lithography tool (not shown). The light source 700 may also include an open-ended hollow cone-shaped shroud 750 (e.g., a gas cone) that tapers from the collector mirror 735 toward the plasma formation location 705 to reduce the amount of plasma-generating debris entering the focusing assembly 722 and/or the beam delivery system 720, while allowing the amplified light beam 710 to reach the plasma formation location 705. To this end, a gas flow directed towards the plasma formation location 705 may be provided in the shield.
Light source 700 may also include a master controller 755, which master controller 755 is connected to droplet position detection feedback system 756, laser control system 757, and beam control system 758. The light source 700 can include one or more target or droplet imagers 760, the one or more target or droplet imagers 760 providing an output indicative of a position of the droplet, for example, relative to the plasma formation location 705, and providing the output to the droplet position detection feedback system 756, which can, for example, calculate droplet position and trajectory from which droplet position and trajectory droplet position errors can be calculated, either drop-wise or averaged. Thus, droplet position detection feedback system 756 provides the droplet position error as an input to master controller 755. Thus, master controller 755 may provide laser position, orientation, and timing correction signals to, for example, laser control system 757, which laser control system 757 may, for example, be used to control a laser timing circuit, and/or beam control system 758, which beam control system 758 may, for example, be used to control the position and shape of the amplified light beam of beam delivery system 720 to change the position and/or power of the beam focal spot within chamber 730.
The supply system 725 includes a target material delivery control system 726 that is operable in response to signals from the main controller 755, for example, to modify the release point of a droplet as released by the target material supply 727 to correct errors in the droplet reaching the desired plasma formation location 705. The target material supply device 727 includes a target forming device using an adhesive such as the adhesive 234.
Additionally, light source 700 may include light source detectors 765 and 770, which light source detectors 765 and 770 measure one or more EUV light parameters including, but not limited to, pulse energy, distribution of energy with wavelength, energy within a particular band, energy outside a particular band, and angular distribution of EUV intensity and/or mean power. The light source detector 765 generates a feedback signal for use by the master controller 755. The feedback signal may, for example, indicate errors in parameters such as timing and focusing of laser pulses to properly intercept droplets at the proper place and time for efficient and effective production of EUV light.
The light source 700 may also include a directing laser 775 that may be used to align various segments of the light source 700 or to help steer the amplified light beam 710 to the plasma formation location 705. In conjunction with the guiding laser 775, the light source 700 includes a metrology system 724 positioned within the focusing assembly 722 to sample a portion of the light from the guiding laser 775 and the amplified light beam 710. In other implementations, the metrology system 724 is placed within the beam delivery system 720. The metrology system 724 may include optical elements that sample or redirect a subset of the light, such optical elements being made of any material that can withstand the power of the guided laser beam and the amplified light beam 710. Since main controller 755 analyzes the sampled light from guide laser 775 and uses this information to adjust components within focusing assembly 722 through beam control system 758, a beam analysis system is formed by metrology system 724 and main controller 755.
Thus, in summary, the light source 700 produces an amplified light beam 710 directed along a beam path to illuminate the target mixture 714 at the plasma formation location 705 to convert target material within the mixture 714 into a plasma that emits light in the EUV range. The amplified light beam 710 operates at a specific wavelength (also referred to as the drive laser wavelength) that is determined based on the design and characteristics of the laser system 715. Additionally, the amplified light beam 710 may be a laser beam when the feedback provided by the target material is sufficient to return into the laser system 715 to produce coherent laser light or if the drive laser system 715 includes suitable optical feedback to form a laser cavity.
The foregoing merely illustrates the principles of embodiments of the disclosure. It will thus be appreciated that those skilled in the art will be able to devise various arrangements which, although not explicitly described or shown herein, embody the principles of the invention and are included within its spirit and scope. Furthermore, all examples and conditional language recited herein are principally intended expressly to be only for pedagogical purposes and to aid the reader in understanding the principles of the invention and the concepts contributed by the inventor to furthering the art, and are to be construed as being without limitation to such specifically recited examples and conditions. Moreover, all statements herein reciting principles, aspects, and embodiments of the invention, as well as specific examples thereof, are intended to encompass both structural and functional equivalents thereof. Additionally, it is intended that such equivalents include both currently known equivalents as well as equivalents developed in the future, i.e., any elements developed that perform the same function, regardless of structure.
The description of the exemplary embodiments is intended to be read in connection with the figures of the accompanying drawings, which are to be considered part of the entire written description. In the description, relative terms such as "lower," "upper," "horizontal," "vertical," "above," "below," "up," "down," "top" and "bottom" as well as derivatives thereof (e.g., "horizontally," "downwardly," "upwardly," etc.) should be construed to refer to the orientation as then described or as shown in the drawing under discussion. These relative terms are for convenience of description and do not require that the apparatus be constructed or operated in a particular orientation. Terms concerning attachments, coupling and the like (such as "connected" and "interconnected") refer to both a relationship wherein structures are secured or attached to one another either directly or indirectly through intervening structures, as well as a removable or rigid attachment relationship, unless expressly described otherwise.
Although the present invention has been described in terms of exemplary embodiments, it is not limited thereto. Other implementations are within the scope of the following claims. The appended claims should be construed broadly to include other variants and embodiments of the invention which may be made by those skilled in the art without departing from the scope and range of equivalents of the invention.
Implementations of the present disclosure may also be described by the following clauses:
1. a system, comprising:
a vacuum chamber comprising an interior region configured to receive a target and an optical beam, the target comprising a target material and the target material Emitting Ultraviolet (EUV) light when in a plasma state;
a detection system configured to image the interior region, the detection system configured to detect light emissions from atoms, ions or molecules in the interior region and to generate a representation of a spatial distribution of the light emissions in the interior region; and
a control system coupled to the detection system, the control system configured to:
analyzing the representation of the spatial distribution of light emission to determine a spatial distribution of light emission from atoms, ions, or molecules in the interior region; and
determining whether to adjust at least one characteristic of the light beam and/or at least one characteristic of the vacuum chamber based on the spatial distribution of light emission.
2. The system of clause 1, wherein the light emission comprises fluorescence.
3. The system of clause 2, wherein the fluorescence comprises laser-induced fluorescence.
4. The system of clause 1, further comprising one or more spectral filters configured to be positioned relative to the detection system, the spectral filters configured to allow only some wavelengths to reach the detection system.
5. The system of clause 4, wherein each of the one or more spectral filters is configured to transmit light having a wavelength in one of the plurality of emission lines of the target material.
6. The system of clause 5, wherein at least one of the one or more spectral filters is configured to transmit wavelengths in the visible range.
7. The system of clause 4, wherein the vacuum chamber is further configured to contain a gas in the interior region, and the spectral filter is configured to transmit light having a wavelength at an emission line of the gas.
8. The system of clause 1, wherein the control system is configured to receive a plurality of representations of the interior region, each of the plurality of representations being associated with a different time, and the control system being configured to analyze the representations of the interior region comprises: the control system is configured to analyze each of the plurality of representations to determine a spatial distribution of light emission in the interior region at each of the different times.
9. The system of clause 8, wherein the light emission in the interior region is produced by an energy event in the interior region, and the different times are all times that occur after the energy event.
10. The system of clause 9, wherein the energy event comprises an interaction between the light beam and the target, and the light emission is an emission from: (a) a target material; (b) a plasma formed by interaction between the beam and the target material; and/or (c) debris formed by the interaction of the light beam and the target.
11. The system of clause 1, wherein the control system is configured to receive an extended exposure representation of the interior region, the extended exposure representation of the interior region comprising an average of a spatial distribution of emissions in the interior region over a period of time.
12. The system of clause 9, wherein the vacuum chamber is further configured to contain a gas in the interior region, the energy event comprises an interaction that adds energy to the gas, and the light emission is from the gas.
13. The system of clause 12, wherein the interaction that adds energy to the gas comprises (a) an interaction between the beam of light and the gas; (b) interaction between the gas and a plasma formed by interaction between the beam and the target; and/or (c) interaction between ions and gas.
14. The system of clause 1, wherein the control system is configured to analyze the representation to determine the spatial distribution of light emission in the interior region comprises: the control system is configured to estimate a spatial distribution and/or shape of the intensity of the light emission.
15. The system of clause 1, further comprising:
a first spectral filter configured to transmit light having wavelengths in a first band of wavelengths; and
a second spectral filter configured to transmit light having a wavelength in a second band of wavelengths, and wherein
The control system is configured to analyze the representation including: the control system is configured to estimate an amount of light emission in a first band and estimate an amount of light emission in a second band, and the control system is further configured to estimate the ionized fraction of the target material based on comparing the amount of light emission estimated at the first band and the amount of light emission estimated at the second band.
16. The system of clause 15, wherein the control system determines whether to adjust at least one characteristic of the beam based on the estimated fraction of ionization.
17. The system of clause 16, wherein the control system determines whether to adjust the pointing direction of the light beam based on the estimated fraction of ionization.
18. The system of clause 1, wherein the beam comprises a main pulsed beam having an energy sufficient to convert at least some of the target materials to a plasma that emits EUV light.
19. The system of clause 1, wherein the beam comprises a pre-pulsed beam.
20. The system of clause 4, wherein the control system is configured to analyze the representation further comprises: the control system is configured to compare the spatial distribution of fluorescence in the inner region at least at two different times to estimate a velocity of ions in the inner region and compare the estimated velocity to a velocity specification, and the control system is configured to determine whether to adjust the pressure of the gas based on the comparison of the estimated velocity to the velocity specification.
21. The system of clause 1, wherein the representation of the spatial distribution comprises a representation of a two-dimensional representation.
22. The system of clause 1, wherein the beam of light comprises a pulsed beam of light, and the control system is configured to adjust at least one characteristic of the beam of light comprising: the control system is configured to adjust at least one characteristic of a subsequent pulse of the pulsed light beam.
23. An EUV light source comprising:
a vacuum chamber configured to contain a gas in an interior region and to receive a target and a beam, the target comprising a target material that emits Extreme Ultraviolet (EUV) light in a plasma state;
a monitor comprising at least one sensor configured to detect emissions from the gas in the interior region and to generate an indication of the detected emissions; and
a control system coupled to the monitor, the control system configured to:
analyzing an indication of the detected emissions; and
it is determined whether to adjust at least one characteristic of the beam and/or at least one characteristic of the vacuum chamber based on the analysis.
24. The EUV light source of clause 23, wherein the monitor comprises a detection system configured to image a portion of the interior region and to produce a representation of a spatial distribution of the detected emissions in the portion.
25. The EUV light source of clause 24, wherein the control system is configured to receive a plurality of representations over a period of time, each representation indicating a spatial distribution of the detected emissions in the portion at a different time within the period of time, and the control system is configured to determine whether to adjust at least one characteristic of the light beam and/or at least one characteristic of the vacuum chamber based on two or more of the plurality of representations.
26. The EUV light source of clause 23, wherein the gas comprises hydrogen and the detected emission comprises H-alpha (H- α) and/or H-beta (H- β) emissions from the hydrogen.
27. The EUV light source of clause 24, further comprising:
a first spectral filter configured to transmit a first band of wavelengths; and
a second spectral filter configured to transmit a second band of wavelengths, wherein in operational use, the first spectral filter and the second spectral filter are located between the portion and the detection system; and
the control system being configured to analyze the detected emissions comprises: the control system is configured to compare a representation of the emission transmitted through the first spectral filter with a representation of the emission transmitted through the second spectral filter; and the determination of whether to adjust at least one characteristic of the beam and/or at least one characteristic of the vacuum chamber is based on the comparison.
28. The EUV light source of clause 24, further comprising a pressure controller coupled to the interior of the vacuum chamber, the pressure controller configured to vary the pressure of the gas in the interior of the vacuum chamber, and wherein the control system is coupled to the pressure controller.
29. A method of controlling an EUV light source, the method comprising:
providing a target to a target area in a vacuum chamber, the vacuum chamber containing a gas in an interior area;
causing an interaction between the light beam and a target in the target area;
detecting light emission from atoms, ions and/or molecules in the interior region of the vacuum chamber, the light emission being in response to energy events in the vacuum chamber, the energy events including events that add energy to the target and/or gas;
analyzing the detected light emission to determine a spatial distribution of light emission in the interior region; and
whether to adjust a characteristic of the light beam and/or the gas is determined based on the analysis.
Other implementations are within the scope of the following claims.

Claims (29)

1. A system, comprising:
a vacuum chamber comprising an interior region, wherein the interior region is configured to receive a target and an optical beam, the target comprises a target material, and the target material Emits Ultraviolet (EUV) light when in a plasma state;
a detection system configured to image the interior region, the detection system configured to detect light emissions from atoms, ions or molecules in the interior region and to produce a representation of a spatial distribution of the light emissions in the interior region; and
a control system coupled to the detection system, the control system configured to:
analyzing the representation of the spatial distribution of the light emission to determine a spatial distribution of the light emission from atoms, ions, or molecules in the interior region; and
determining whether to adjust at least one characteristic of the light beam and/or at least one characteristic of the vacuum chamber based on the spatial distribution of the light emission.
2. The system of claim 1, wherein the light emission comprises fluorescence.
3. The system of claim 2, wherein the fluorescence comprises laser-induced fluorescence.
4. The system of claim 1, further comprising one or more spectral filters configured to be positioned relative to the detection system, the spectral filters configured to allow only some wavelengths to reach the detection system.
5. The system of claim 4, wherein each of the one or more spectral filters is configured to transmit light having a wavelength in one of the plurality of emission lines of the target material.
6. The system of claim 5, wherein at least one of the one or more spectral filters is configured to transmit wavelengths in the visible range.
7. The system of claim 4, wherein the vacuum chamber is further configured to contain a gas in the interior region and the spectral filter is configured to transmit light having a wavelength at an emission line of the gas.
8. The system of claim 1, wherein the control system is configured to receive a plurality of representations of the interior region, each of the plurality of representations being associated with a different time, and the control system being configured to analyze the representation of the interior region comprises: the control system is configured to analyze each of the plurality of representations to determine the spatial distribution of the light emission in the interior region at each of the different times.
9. The system of claim 8, wherein the light emission in the interior region results from an energy event in the interior region, and the different times are all times that occur after the energy event.
10. The system of claim 9, wherein the energy event comprises an interaction between the light beam and the target, and the light emission is an emission from: (a) the target material; (b) a plasma formed by the interaction between the beam and the target material; and/or (c) debris formed by the interaction of the light beam and the target.
11. The system of claim 1, wherein the control system is configured to receive an extended exposure representation of the interior region, the extended exposure representation of the interior region comprising an average of the spatial distribution of the emissions in the interior region over a period of time.
12. The system of claim 9, wherein the vacuum chamber is further configured to contain a gas in the interior region, the energy event comprises an interaction that adds energy to the gas, and the light emission is from the gas.
13. The system of claim 12, wherein the interaction that adds energy to the gas comprises: (a) an interaction between the light beam and the gas; (b) an interaction between the gas and a plasma formed by an interaction between the beam and the target; and/or (c) interaction between ions and the gas.
14. The system of claim 1, wherein the control system being configured to analyze the representation to determine a spatial distribution of the light emission in the interior region comprises: the control system is configured to estimate a spatial distribution and/or shape of the intensity of the light emission.
15. The system of claim 1, further comprising:
a first spectral filter configured to transmit light having wavelengths in a first band of wavelengths; and
a second spectral filter configured to transmit light having a wavelength in a second band of wavelengths, and wherein
The control system being configured to analyze the representation comprises: the control system is configured to estimate an amount of light emission in the first band and estimate an amount of light emission in the second band, and the control system is further configured to estimate an ionized fraction of the target material based on comparing the estimated amount of light emission at the first band and the estimated amount of light emission at the second band.
16. The system of claim 15, wherein the control system determines whether to adjust at least one characteristic of the beam based on the estimated fraction of ionization.
17. The system of claim 16, wherein the control system determines whether to adjust the pointing direction of the light beam based on the estimated fraction of ionization.
18. The system of claim 1, wherein the beam comprises a main pulsed beam having energy sufficient to convert at least some of the target materials to a plasma that emits EUV light.
19. The system of claim 1, wherein the beam comprises a pre-pulsed beam.
20. The system of claim 4, wherein the control system being configured to analyze the representation further comprises: the control system is configured to compare the spatial distribution of fluorescence in the interior region at least two different times to estimate a velocity of ions in the interior region and compare the estimated velocity to a velocity specification, and the control system is configured to determine whether to adjust the pressure of the gas based on the comparison of the estimated velocity to the velocity specification.
21. The system of claim 1, wherein the representation of the spatial distribution comprises a representation of a two-dimensional representation.
22. The system of claim 1, wherein the beam comprises a pulsed beam and the control system being configured to adjust at least one characteristic of the beam comprises: the control system is configured to adjust at least one characteristic of a subsequent pulse of the pulsed light beam.
23. An EUV light source comprising:
a vacuum chamber configured to contain a gas in an interior region and to receive a target and a beam, the target comprising a target material that emits Extreme Ultraviolet (EUV) light in a plasma state;
a monitor comprising at least one sensor configured to detect emissions from the gas in the interior region and to generate an indication of the detected emissions; and
a control system coupled to the monitor, the control system configured to:
analyzing the indication of the detected emission; and
determining whether to adjust at least one characteristic of the beam and/or at least one characteristic of the vacuum chamber based on the analysis.
24. An EUV light source as claimed in claim 23 wherein the monitor comprises a detection system configured to image a portion of the inner region and to produce a representation of the spatial distribution of detected emissions in the portion.
25. EUV light source according to claim 24, wherein the control system is configured to receive a plurality of representations over a period of time, each representation being indicative of a spatial distribution of the detected emissions in the portion at a different time within the period of time, and to determine whether to adjust at least one characteristic of the light beam and/or at least one characteristic of the vacuum chamber based on two or more of the plurality of representations.
26. An EUV light source according to claim 23 wherein the gas comprises hydrogen and the detected emission comprises H-alpha (H- α) and/or H-beta (H- β) emission from the hydrogen.
27. The EUV light source of claim 24, further comprising:
a first spectral filter configured to transmit a first band of wavelengths; and
a second spectral filter configured to transmit a second band of wavelengths, wherein in operational use, the first spectral filter and the second spectral filter are located between the portion and the detection system; and
the control system being configured to analyze the detected emissions comprises: the control system is configured to compare a representation of the emission transmitted through the first spectral filter with a representation of the emission transmitted through the second spectral filter; and the determination of whether to adjust at least one characteristic of the beam and/or at least one characteristic of the vacuum chamber is based on the comparison.
28. An EUV light source as claimed in claim 24 further comprising a pressure controller coupled to the interior of the vacuum chamber, the pressure controller being configured to vary the pressure of the gas in the interior of the vacuum chamber, and wherein the control system is coupled to the pressure controller.
29. A method of controlling an EUV light source, the method comprising:
providing a target to a target area in a vacuum chamber, the vacuum chamber containing a gas in an interior area;
causing an interaction between the beam and the target in the target region;
detecting light emissions from atoms, ions and/or molecules in the interior region of the vacuum chamber, the light emissions being in response to energy events in the vacuum chamber, the energy events including events that add energy to the target and/or the gas;
analyzing the detected light emission to determine a spatial distribution of light emission in the interior region; and
determining whether to adjust a characteristic of the beam and/or the gas based on the analysis.
CN201980070983.7A 2018-10-26 2019-10-24 Monitoring light emission Pending CN112930714A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862751267P 2018-10-26 2018-10-26
US62/751,267 2018-10-26
PCT/US2019/057944 WO2020086901A1 (en) 2018-10-26 2019-10-24 Monitoring light emissions

Publications (1)

Publication Number Publication Date
CN112930714A true CN112930714A (en) 2021-06-08

Family

ID=68618194

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980070983.7A Pending CN112930714A (en) 2018-10-26 2019-10-24 Monitoring light emission

Country Status (6)

Country Link
EP (1) EP3871473A1 (en)
KR (1) KR20210078494A (en)
CN (1) CN112930714A (en)
NL (1) NL2024090A (en)
TW (1) TWI821437B (en)
WO (1) WO2020086901A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11452197B2 (en) * 2018-10-29 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Shock wave visualization for extreme ultraviolet plasma optimization

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070008517A1 (en) * 2005-07-08 2007-01-11 Cymer, Inc. Systems and methods for EUV light source metrology
WO2009061192A1 (en) * 2007-11-08 2009-05-14 Asml Netherlands B.V. Radiation system and method, and a spectral purity filter
JP2011054855A (en) * 2009-09-03 2011-03-17 Komatsu Ltd Ionization laser device, and extreme ultraviolet light source device
CN103748967A (en) * 2011-08-19 2014-04-23 西默有限公司 Energy sensors for light beam alignment
US20140264091A1 (en) * 2013-03-15 2014-09-18 Cymer, Llc Beam position control for an extreme ultraviolet light source
CN105359038A (en) * 2013-06-18 2016-02-24 Asml荷兰有限公司 Lithographic method and system
US20170048958A1 (en) * 2015-08-12 2017-02-16 Asml Netherlands B.V. Stabilizing EUV Light Power in an Extreme Ultraviolet Light Source
CN106465525A (en) * 2014-02-28 2017-02-22 Asml荷兰有限公司 Adaptive laser system for an extreme ultraviolet light source
US20170280545A1 (en) * 2015-01-23 2017-09-28 Kyushu University, National University Corporation Extreme ultraviolet light generating system, extreme ultraviolet light generating method, and thomson scattering measurement system
CN108353489A (en) * 2015-08-12 2018-07-31 Asml荷兰有限公司 Target spreading rate control in EUV light source

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10627724B2 (en) * 2015-09-23 2020-04-21 Asml Netherlands B.V. Lithographic apparatus and method

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070008517A1 (en) * 2005-07-08 2007-01-11 Cymer, Inc. Systems and methods for EUV light source metrology
WO2009061192A1 (en) * 2007-11-08 2009-05-14 Asml Netherlands B.V. Radiation system and method, and a spectral purity filter
JP2011054855A (en) * 2009-09-03 2011-03-17 Komatsu Ltd Ionization laser device, and extreme ultraviolet light source device
CN103748967A (en) * 2011-08-19 2014-04-23 西默有限公司 Energy sensors for light beam alignment
US20140264091A1 (en) * 2013-03-15 2014-09-18 Cymer, Llc Beam position control for an extreme ultraviolet light source
CN105359038A (en) * 2013-06-18 2016-02-24 Asml荷兰有限公司 Lithographic method and system
CN106465525A (en) * 2014-02-28 2017-02-22 Asml荷兰有限公司 Adaptive laser system for an extreme ultraviolet light source
US20170280545A1 (en) * 2015-01-23 2017-09-28 Kyushu University, National University Corporation Extreme ultraviolet light generating system, extreme ultraviolet light generating method, and thomson scattering measurement system
US20170048958A1 (en) * 2015-08-12 2017-02-16 Asml Netherlands B.V. Stabilizing EUV Light Power in an Extreme Ultraviolet Light Source
CN108353489A (en) * 2015-08-12 2018-07-31 Asml荷兰有限公司 Target spreading rate control in EUV light source

Also Published As

Publication number Publication date
TW202032278A (en) 2020-09-01
KR20210078494A (en) 2021-06-28
TWI821437B (en) 2023-11-11
EP3871473A1 (en) 2021-09-01
WO2020086901A1 (en) 2020-04-30
NL2024090A (en) 2020-05-13

Similar Documents

Publication Publication Date Title
US11856681B2 (en) Target delivery system
US20220151052A1 (en) System for monitoring a plasma
KR102072064B1 (en) Radiation source
JP4966342B2 (en) Radiation source, method of generating radiation and lithographic apparatus
TWI820102B (en) System for an extreme ultraviolet (euv) light source and method of forming a target for an euv light source
JP4916535B2 (en) Radiation source, device manufacturing method, and lithographic apparatus
TWI821437B (en) System for monitoring light emissions, euv light source, and method of controlling an euv light source
JP2014527273A (en) Radiation source and lithographic apparatus
WO2021121985A1 (en) Source material delivery system, euv radiation system, lithographic apparatus, and methods thereof
Rollinger et al. Clean and stable LPP light source for HVM inspection applications
CN112041752A (en) System for testing mirrors, such as collector mirrors, and method of testing mirrors, such as collector mirrors
US11320744B2 (en) Method and apparatus for controlling extreme ultraviolet light
US20230018949A1 (en) Calibration system for an extreme ultraviolet light source
TW202106116A (en) Controlling conversion efficiency in an extreme ultraviolet light source
NL2012718A (en) Radiation systems and associated methods.
NL2015136A (en) Radiation systems and associated methods.

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination