CN112877675A - Atomic layer deposition chamber with funnel-shaped gas distribution channel and gas distribution plate - Google Patents

Atomic layer deposition chamber with funnel-shaped gas distribution channel and gas distribution plate Download PDF

Info

Publication number
CN112877675A
CN112877675A CN202110047033.1A CN202110047033A CN112877675A CN 112877675 A CN112877675 A CN 112877675A CN 202110047033 A CN202110047033 A CN 202110047033A CN 112877675 A CN112877675 A CN 112877675A
Authority
CN
China
Prior art keywords
plate
gas distribution
lid
gas
cover plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202110047033.1A
Other languages
Chinese (zh)
Other versions
CN112877675B (en
Inventor
***·M·拉希德
斯里尼瓦斯·甘迪科塔
马里奥·丹·桑切斯
简国强
杨义雄
迪帕克·贾达夫
阿什托西·阿咖瓦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202110047033.1A priority Critical patent/CN112877675B/en
Publication of CN112877675A publication Critical patent/CN112877675A/en
Application granted granted Critical
Publication of CN112877675B publication Critical patent/CN112877675B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting

Abstract

Methods and apparatus for processing a substrate are provided herein. In some embodiments, a substrate processing chamber comprises: a chamber body; a chamber lid assembly having an outer shell (housing) surrounding a central passage extending along a central axis and having an upper portion and a lower portion; a cover plate coupled to the housing and having a contoured bottom surface extending downwardly and outwardly from a central opening coupled to a lower portion of the central channel to a peripheral portion of the cover plate; and a gas distribution plate disposed under the cover plate and having a plurality of apertures (apertures) disposed therethrough.

Description

Atomic layer deposition chamber with funnel-shaped gas distribution channel and gas distribution plate
The present application is a divisional application of the invention patent application entitled "atomic layer deposition chamber with funnel-shaped gas distribution channel and gas distribution plate" filed as 2016, 19/4, 201680022766.7.
Technical Field
Embodiments of the present disclosure generally relate to atomic layer deposition apparatus and methods.
Background
Reliable fabrication of submicron (submicron) and smaller features is a key technology for next generation semiconductor devices, Very Large Scale Integrated (VLSI) and Ultra Large Scale Integrated (ULSI) circuits. However, as the edge of circuit technology approaches, the scaling of interconnect (interconnect) dimensions in VLSI and ULSI technologies places additional demands on process capability. The multi-layer interconnects that are in the heart of VLSI and ULSI technologies use precision processing of high aspect ratio features, such as vias (via) and other interconnects. Reliable formation of these interconnects is very important to the success of VLSI and ULSI and the continuing efforts to increase circuit density and quality of individual substrates.
As circuit density increases, the width of interconnects (such as vias, trenches, contacts, and other features) and the width of the dielectric material therebetween decreases, but at the same time the thickness of the dielectric layer remains substantially constant, resulting in an increased aspect ratio of the height to width of the features. Many conventional deposition processes have difficulty filling aspect ratios in excess of 4: 1, in particular, it is difficult to fill the submicron structures with aspect ratios exceeding 10: 1, submicron structure. Accordingly, there is currently great effort to form substantially void-free and seam-free submicron features with high aspect ratios.
Atomic Layer Deposition (ALD) is a deposition process developed to deposit a layer of material on features having a high aspect ratio. One example of an ALD process includes the sequential introduction of gas pulses. For example, one cycle of sequential introduction of gas pulses may contain a pulse of a first reactant gas, followed by a pulse of a purge gas and/or pump evacuation (pump equalization), followed by a pulse of a second reactant gas, followed by a pulse of a purge gas and/or pump evacuation. The term "gas" as used herein is defined to encompass a single gas or a plurality of gases. The sequential introduction of the separate pulses of the first and second reactants may cause alternating self-limiting (self-limiting) adsorption of a monolayer of reactants on the surface of the substrate and thus form a monolayer of material for each cycle. The cycle may be repeated until a desired thickness of the deposited material. Pulses of purge gas and/or pump evacuation between pulses of a first reactant gas and pulses of a second reactant gas serve to reduce the likelihood of gas phase reactions of the reactants that result from excess amounts of reactants remaining in the chamber.
In some chamber designs for ALD processes, precursors and gases are delivered using a funnel lid through which the precursors are distributed via a plurality of injectors above the funnel lid. These injectors produce a circular motion of the injected gas, which is distributed through a funnel section in the center of the lid. The moment of inertia of the gas/ALD precursor molecules distributes these molecules from the center to the edges, resulting in improved uniform deposition. However, in some applications, the inventors have observed that there is a donut-shaped deposition profile near the center of the substrate being processed. It is believed that the donut shaped deposition profile is caused by the funnel shape of the lid and can cause integration problems for the customer.
Accordingly, the inventors have provided improved apparatus and methods for ALD processing of substrates.
Disclosure of Invention
Methods and apparatus for processing a substrate are provided herein. In some embodiments, a substrate processing chamber comprises: a chamber body; a chamber lid assembly having an outer shell (housing) surrounding a central passage extending along a central axis and having an upper portion and a lower portion; a cover plate coupled to the housing and having a contoured bottom surface extending downwardly and outwardly from a central opening coupled to a lower portion of the central channel to a peripheral portion of the cover plate; and a gas distribution plate disposed under the cover plate and having a plurality of apertures (apertures) disposed therethrough.
In some embodiments, a substrate processing chamber comprises: a chamber body; a chamber lid assembly having a housing surrounding a central passage extending along a central axis and having an upper portion and a lower portion; a cover plate coupled to the housing and having a contoured bottom surface extending downwardly and outwardly from a central opening coupled to a lower portion of the central channel to a peripheral portion of the cover plate; a gas distribution plate disposed under the cover plate and having a plurality of slits disposed therethrough; a Remote Plasma Source (RPS) fluidly coupled to the central passage; an isolation collar coupled between the remote plasma source and the housing, wherein the isolation collar has an inner channel extending therethrough to fluidly couple the remote plasma source and the central channel; an exhaust conduit coupled to the isolation collar at a first end and coupled to a primary pumping channel at a second end; and a valve coupled to the exhaust conduit to selectively open or close the exhaust conduit.
In some embodiments, a method of processing a substrate comprises: flowing a first process gas into a gas distribution channel and a reaction zone of a process chamber; flowing the first process gas through a plurality of apertures in a gas distribution plate disposed in the reaction zone and onto the substrate; flowing a cleaning gas into the gas dispersion channel and the reaction zone; exhausting the cleaning gas through an exhaust system; flowing a second process gas into the gas dispersion channel and the reaction zone; flowing the second process gas through the plurality of apertures in the gas distribution plate and onto the substrate; flowing the cleaning gas into the gas dispersion channel and the reaction zone; and exhausting the cleaning gas through the exhaust system.
Other and further embodiments of the disclosure are described below.
Drawings
Embodiments of the present disclosure, briefly summarized above and discussed in more detail below, may be understood by reference to the illustrative embodiments of the disclosure, which are illustrated in the appended drawings. However, the appended drawings illustrate only typical embodiments of this disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective embodiments.
Fig. 1 depicts a schematic view of a process chamber according to some embodiments of the present disclosure.
Fig. 2 depicts a schematic cross-sectional view of a processing chamber according to some embodiments of the present disclosure.
Figure 3 depicts a schematic cross-sectional view of a cap assembly according to some embodiments of the present disclosure.
Fig. 4A-4C depict schematic view diagrams of apertures configured through a gas distribution plate, according to some embodiments of the present disclosure.
Fig. 5 depicts a flow chart illustrating a method of processing a substrate according to an embodiment of the present disclosure.
To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. The figures are not drawn to scale and may be simplified for clarity. Elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
Detailed Description
Embodiments of the present disclosure provide apparatus and methods that may be used to clean a substrate processing chamber, such as an Atomic Layer Deposition (ALD) chamber, and to deposit materials during, for example, an ALD process. Embodiments include substrate processing chambers and gas delivery systems, including remote plasma sources and gas distribution plates. Other embodiments provide methods for depositing materials using these gas delivery systems during ALD processes. Examples of processing chambers for incorporation into the apparatus described herein include high dielectric constant (i.e., high-k) and metal ALD deposition chambers, which are available from applied materials, santa clara, california. The following description of the process chamber is provided for contextual and exemplary purposes and should not be read or construed to limit the scope of the present disclosure.
Fig. 1 is a schematic view of a substrate processing chamber (processing chamber 100) according to some embodiments of the present disclosure, the processing chamber 100 including a gas delivery system 130 suitable for ALD processes. Fig. 2 is a schematic cross-sectional view of the processing chamber 100. The processing chamber 100 includes a chamber body 102, the chamber body 102 having a processing volume within the chamber body 102 and below a chamber lid assembly 132. The slit valve 108 of the processing chamber 100 provides an access path for a robot (not shown) to transfer a substrate 110 (e.g., a 200mm or 300mm semiconductor wafer or glass substrate) to the processing chamber 100 and retrieve the substrate 110 from the processing chamber 100. A chamber liner 177 is disposed along the walls of the processing chamber 100 to protect the chamber from the corrosive gases used during processing/cleaning.
The substrate support 112 supports a substrate 110 on a substrate receiving surface 111 in the processing chamber 100. The substrate support 112 is mounted to a lift motor 114 to raise and lower the substrate support 112 and a substrate 110 disposed thereon. A lift plate 116 (shown in figure 2) coupled to a lift motor 118 is mounted in the processing chamber 100 to raise and lower lift pins 120, which lift pins 120 are movably disposed through the substrate support 112. The lift pins 120 raise and lower the substrate 110 above the surface of the substrate support 112. The substrate support 112 may comprise a vacuum chuck (not shown), an electrostatic chuck (not shown), or a clamp ring (not shown) for securing the substrate 110 to the substrate support 112 during a deposition process.
The temperature of the substrate support 112 may be adjusted to control the temperature of the substrate 110. For example, the substrate support 112 may be heated with an embedded heating element, such as a resistive heater (not shown), or may be heated using radiant heat, such as heating lamps (not shown) disposed above the substrate support 112. The purge ring 122 may be disposed on the substrate support 112 to define a purge channel 124, the purge channel 124 providing a purge gas to the peripheral portion of the substrate 110 to prevent deposition on the peripheral portion of the substrate 110.
A gas delivery system 130 is disposed in an upper portion of the chamber body 102 to provide gases (e.g., process gases and/or purge gases) to the processing chamber 100. A vacuum system (not shown) communicates with the pumping channel 179 to evacuate any desired gases from the process chamber 100 and to help maintain a desired pressure or range of pressures within the process chamber 100.
In some embodiments, the chamber lid assembly 132 includes a gas dispersion channel 134, the gas dispersion channel 134 extending through a central portion of the chamber lid assembly 132. As shown in fig. 1 and 2, the gas dispersion channel 134 extends vertically toward the substrate receiving surface 111 and also extends along a central axis 133 of the gas dispersion channel 134, through the cover plate 170, and to the lower surface 160. In some embodiments, the upper portion of the gas dispersion channel 134 is generally cylindrical along the central axis 133, and the lower portion of the gas dispersion channel 134 is tapered away from the central axis 133. The lower surface 160 is sized and shaped to substantially cover the substrate 110 disposed on the substrate receiving surface 111 of the substrate support 112. The lower surface 160 tapers from the outer edge of the cover plate 170 towards the gas dispersion channel 134. The gas delivery system 130 may provide one or more gases to the gas distribution channel 134 for processing the substrate 110. In some embodiments, the gas delivery system 130 may be coupled to the gas dispersion channel 134 via one gas inlet. In some embodiments, for example as shown in fig. 3, the gas delivery system may be coupled to the gas dispersion channel 134 via a plurality of inlets.
As depicted in fig. 3, the annular gas flow 174 illustrating the flow of the process gas through the gas distribution channel 134 may contain various types of flow patterns. In some embodiments, the process gas may be forced to revolve around the central axis 133 of the gas dispersion channel 134 as it passes through the dispersion channel (revolution). In such embodiments, annular gas flow 174 may contain various annular flow patterns, such as a vortex pattern, a helix (helix) pattern, a spiral (helical) pattern, or a derivative of the above.
While providing the annular flow 174 is advantageous for numerous applications, the inventors have found that in some applications, the annular flow can cause non-uniform processing results. The inventors have observed that the gas flow may induce a circular deposition profile near the center of the substrate 110 being processed. The circular ring-shaped distribution curve may be caused by the funnel shape of the gas dispersion channel 134. Thus, in some embodiments, the processing chamber 100 further comprises a gas distribution plate 125 having a plurality of apertures 126, the apertures 126 being disposed through the gas distribution plate 125. The gas distribution plate 125 extends to the surface of the gas distribution channel 134 such that the only path from the gas distribution channel 134 to the substrate passes through the plurality of slits 126 of the gas distribution plate 125. The gas distribution plate 125 advantageously creates a choking of gases passing through the gas distribution plate 125, resulting in more uniform deposition on the substrate 110 and thus substantially eliminating donut-shaped deposition caused by the rotating flow of gases.
In some embodiments, the gas distribution plate 125 is formed from a non-corrosive ceramic material, such as, for example, aluminum oxide or aluminum nitride. In some embodiments, each of the plurality of apertures 126 may have equal fluid conductivity. In some embodiments, the density of the plurality of apertures 126 (e.g., the number of apertures per unit area or the size of the aperture openings) may be varied across the gas distribution plate 125 to achieve a desired deposition profile on the substrate 110. For example, a higher density of apertures 126 may be disposed in the center of the gas distribution plate 125 to increase the deposition rate at the center of the substrate as compared to the edge of the substrate, thereby further improving deposition uniformity.
Although the plurality of slots 126 are described as cylindrical through holes, the plurality of slots 126 may have different profiles. Fig. 4A-4C depict different, non-limiting embodiments of the profile of the plurality of slits 126. In the embodiment depicted in FIG. 4A, the slit 126 is a cylindrical through-hole having a curved edge 402 surrounding the slit. In the embodiment depicted in FIG. 4B, the aperture 126 is a through-hole having an upper portion 404 that tapers inwardly toward the center of the aperture, a cylindrical central portion 405 that extends vertically to the upper surface 127 of the gas distribution plate 125, and a lower portion 406 that tapers outwardly from the center of the aperture. In the embodiment depicted in FIG. 4C, the aperture 126 is a through-hole having an upper portion 408, which has a undercut hole (undercut hole), a cylindrical central portion 409 extending perpendicularly to the upper surface 127 of the gas distribution plate 125, and a lower portion 410 that tapers outwardly from the center of the aperture. Other profiles of the plurality of slits 126 may be used instead to achieve optimal deposition uniformity during processing of the substrate 110.
Without wishing to be bound by theory, the inventors believe that the diameter of the gas dispersion channel 134 (which increases from the upper portion of the gas dispersion channel 134 to a constant value at a first point along the central axis 133 and from the first point to the lower portion 135 of the gas dispersion channel 134) allows for less adiabatic expansion of the gas through the gas dispersion channel 134, which helps control the temperature of the process gas contained in the annular gas flow 174. For example, a sudden adiabatic expansion of the gas delivered into the gas dispersion channel 134 may cause a drop in the temperature of the gas, which may cause the gas to condense and form droplets. On the other hand, it is believed that the tapered gas dispersion channel 134 provides less adiabatic expansion of the gas. Accordingly, more heat may be transferred to or from the gas, and thus the temperature of the gas may be more easily controlled by controlling the temperature of the chamber lid assembly 132. The gas dispersion channel 134 may taper gradually and contain one or more tapered inner surfaces, such as tapered straight surfaces, inner concave surfaces, outer convex surfaces, or combinations thereof, or may contain sections of one or more tapered inner surfaces (i.e., partially tapered and partially non-tapered).
As shown in fig. 3, the upper portion of the gas dispersion channel 134 is defined by an insert 300 disposed in the inner region of the housing 375. The insert 300 includes a cap 302 at an upper portion of the insert 300 and a central passage at least partially defining the gas dispersion channel 134. The cap 302 extends beyond the housing 375 to hold the insert 300 in place. The insert 300 and cap 302 include a plurality of O-rings 385 disposed between the insert 300 and the housing 375 to ensure a proper seal. The insert 300 includes a plurality of peripheral slits that form a corresponding plurality of peripheral channels 360, 365, 370 when the insert 300 is inserted into the housing 375. A plurality of peripheral channels 360, 365, 370 are fluidly coupled to the gas dispersion channel 134 via a corresponding plurality of apertures 340, 345, 350. In the embodiment shown in fig. 3, the gas delivery system 130 is coupled to the gas dispersion channel 134 via a plurality of gas feed lines 310, 315, 320. Gas feed lines 310, 315, 320 are fluidly coupled to the plurality of peripheral channels 360, 365, 370 to provide one or more gases to the gas dispersion channel 134.
Returning to fig. 1 and 2, the processing chamber 100 further comprises a chamber cleaning system comprising a Remote Plasma Source (RPS)190, an isolation collar 192 coupled to the RPS 190 at one end and to a cap 302 at an opposite end, a heater plate 198 coupled to an upper surface of the lid plate 170, and a cleaning gas (i.e., purge gas) source 197 fluidly coupled to the RPS 190. The cleaning gas source 197 may comprise any gas suitable for forming a plasma to clean the processing chamber 100. In some embodiments, for example, the cleaning gas may be nitrogen trifluoride (NF)3). The isolation collar 192 includes an inner channel 193, the inner channel 193 being fluidly coupled to the gas distribution channel 134 through a plurality of holes 285 disposed in a central portion of the cap 302 to allow plasma to flow from the RPS 190, through the gas distribution channel 134 and into the reaction zone 164. The heater plate 198 may be formed of stainless steel and contain a plurality of heat resistant elements dispersed throughout the plate.
Typically, after the gas delivery system 130 provides the first gas to the gas dispersion channel 134, the cleaning gas flows through the gas dispersion channel 134 and the reaction zone 164 to rapidly purge the first gas from the gas dispersion channel 134 and the reaction zone 164. Thereafter, the second gas is provided to the gas dispersion channel 134 by the gas delivery system 130, and the cleaning gas again flows through the gas dispersion channel 134 and to the reaction zone 164 to rapidly purge the second gas from the gas dispersion channel 134 and the reaction zone 164. However, the addition of the gas distribution plate 125 can block (choke) the flow of the cleaning gas to the pumping channel 179 and prolong the cleaning process. In this regard, the inventors have incorporated an exhaust system 180, the exhaust system 180 having an exhaust conduit 184, the exhaust conduit 184 coupled to an isolation collar 192 at a first end 186 and coupled to a pumping channel 179 at a second end 188. A valve 182 is disposed in the exhaust conduit 184 to selectively fluidly couple the exhaust conduit 184 to the internal passage 193. In some embodiments, for example, the valve 182 may be a plunger-type valve having a plunger (plunger)202, the plunger 202 being movable between a first position (as shown in fig. 2) in which the plunger 202 fluidly couples the exhaust conduit 184 to the inner channel 193 and a second position in which the plunger 202 seals the exhaust conduit 184 from the inner channel 193. Each time a cleaning gas flows through the gas dispersion channel 134 and the reaction zone 164, the valve 182 opens and the cleaning gas rapidly discharges to the pumping channel 179.
When the gas pressure inside the processing chamber 100 exceeds the gas pressure inside the RPS 190, the process gas may flow up to the RPS 190 and damage the RPS 190. The plurality of holes 285 serve as choke points to prevent backflow of the process gas from flowing up through the inner passage 193 and into the RPS 190. The isolation collar 192 may be made of any material that is inert to the cleaning gas usedA reactive material is formed. In some embodiments, when the cleaning gas is NF3The isolation collar 192 may be formed from aluminum. In some embodiments, the isolation collar 192 and the insert 300 may be formed of aluminum and coated with a coating to prevent the isolation collar 192 and the insert 300 from being corroded by the corrosive gases when in use. For example, the coating may be formed from nickel or aluminum oxide.
Referring to fig. 3, RPS 190 operates at a temperature less than or equal to about 40 f or greater. To advantageously isolate the RPS 190 from heat generated in the process chamber 100, a thermal isolation ring 394 is disposed between the isolation collar 192 and the cap 302. The thermal isolation ring 394 is formed of a metal having a low thermal conductivity (e.g., lower than the thermal conductivity of the isolation collar 192 and the cap 302). Additionally, an O-ring 385 may also be disposed between the isolation collar 192 and the cap 302 to further reduce the contact area between the isolation collar 192 and the cap 302. The combination of the insulating ring 394 and the O-ring 385 functions as a thermal choke(s) to ensure that heat generated in the processing chamber 100 does not negatively impact the RPS 190.
In some embodiments, when the lid plate 170 is heated beyond 100 lid plates, the processing chamber 100 may include a differential pumping circuit 250 to ensure that any process gases or byproducts trapped between the (trapped) O-rings 385 are exhausted to the pumping channel 179. The differential pumping line 250 is coupled to the cover plate 170 at a first end and to the housing 375 at a second end opposite the first end. The differential pumping circuit is fluidly coupled to the gas dispersion channel 134 and one or more channels 260, the channels 260 being formed in a region between two or more O-rings 385. When the valve 182 is opened to vent the gas dispersion channel 134, the differential pumping circuit vents the gas trapped between the O-rings 385.
Returning to fig. 3, a portion of the lower surface 160 of the chamber lid assembly 132 may be contoured or angled downward and outward from a central opening coupled to the gas distribution channel 134 to a peripheral portion of the chamber lid assembly 132 to help provide an improved velocity profile of the gas flow from the gas distribution channel 134 across the surface of the substrate 110 (i.e., from the center of the substrate to the edge of the substrate). Lower surface 160 may include one or more surfaces, such as a straight surface, a convex surface, a concave surface, or a combination thereof. In one example, the lower surface 160 is convex and funnel-shaped.
In one example, the lower surface 160 is sloped downward and outward toward the edge of the substrate receiving surface 111 to help reduce variations in the velocity of the process gas traveling between the lower surface 160 of the chamber lid assembly 132 and the substrate 110 while helping to uniformly expose the surface of the substrate 110 to the reactant gas. The components and parts of chamber lid assembly 132 may comprise materials such as stainless steel, aluminum, nickel-plated aluminum, nickel, alloys of the above materials, or other suitable materials. In one embodiment, the cover plate 170 may be manufactured, machined (machined), forged, or otherwise fabricated separately from a metal, such as aluminum, an aluminum alloy, steel, stainless steel, alloys thereof, or combinations thereof.
In some embodiments, the inner surface 131 of the gas distribution channel 134 and the lower surface 160 of the chamber lid assembly 132 may include a mirror finish to assist the flow of gas along the gas distribution channel 134 and the lower surface 160 of the chamber lid assembly 132.
Referring to fig. 1-3, in a process operation, a substrate 110 is transferred to the processing chamber 100 by a robot (not shown) through the slit valve 108. The substrate 110 is positioned on the substrate support 112 by the cooperation of the lift pins 120 and the robot. The substrate support 112 raises the substrate 110 to a position (close orientation) opposite and proximate to a lower surface of the gas distribution plate 125. The first gas flow may be injected into the gas dispersion channel 134 of the processing chamber 100 through the gas delivery system 130 together with or separately from (i.e., pulsed) the second gas flow. The first gas stream may contain a continuous flow of purge gas from a purge gas source and pulses of reactant gas from a reactant gas source, or may contain pulses of reactant gas from a reactant gas source and pulses of purge gas from a purge gas source. The second gas stream may contain a continuous flow of purge gas from a purge gas source and pulses of reactant gas from a reactant gas source, or may contain pulses of reactant gas from a reactant gas source and pulses of purge gas from a purge gas source.
The annular gas flow 174 travels through the gas dispersion channel 134 and then through the plurality of apertures 126 in the gas distribution plate 125. The gas is then deposited on the surface of the substrate 110. The downwardly sloped lower surface 160 of the chamber lid assembly 132 helps to reduce the variation in the velocity of the gas flow across the surface of the gas distribution plate 125. Excess gases, byproducts, etc. flow into the pumping channel 179 and are then exhausted from the processing chamber 100. Throughout the processing operation, the heater plate 198 may heat the chamber lid assembly 132 to a predetermined temperature to heat any solid byproducts that have accumulated on the walls of the processing chamber 100 (or a process kit disposed in the chamber). As a result, any accumulated solid by-products are vaporized. The vaporized byproducts are evacuated by a vacuum system (not shown) and pumping channel 179. In some embodiments, the predetermined temperature is greater than or equal to 150 degrees.
Fig. 5 illustrates a method 500 of processing a substrate according to some embodiments of the present disclosure. At step 505, a first process gas is flowed from the gas delivery system 130 into the gas distribution channel 134 and the reaction zone 164. At step 510, the first process gas flows through the plurality of apertures 126 in the gas distribution plate 125 and onto the substrate 110. At step 515, a purge gas is flowed into the gas distribution channel 134 and the reaction zone 164 to purge the first process gas. At step 520, the cleaning gas is exhausted through the exhaust system 180. At step 525, a second process gas is flowed into the gas distribution channel 134 and the reaction zone 164. At 530, the second process gas flows through the apertures 126 in the gas distribution plate 125 and onto the substrate 110. At 535, the cleaning gas is flowed into the gas distribution channel 134 and the reaction zone 164 to purge the second process gas. At step 540, the cleaning gas is exhausted through the exhaust system 180.
Other embodiments of chambers suitable for atomic layer deposition incorporate one or more of these features.
While the foregoing is directed to some embodiments of the present disclosure, other and further embodiments of the disclosure may be devised without departing from the basic scope thereof.

Claims (18)

1. A lid for a substrate processing chamber, comprising:
a cover plate comprising an upper surface and a contoured bottom surface, the upper surface having a central opening and the contoured bottom surface having a first portion and a second portion, the first portion extending downwardly and outwardly from the central opening to a peripheral portion of the cover plate and the second portion extending radially outwardly along the peripheral portion of the cover plate;
an upper flange extending radially outward from the cover plate; and
one or more channels formed through the cover plate from a top surface of the cover plate to the second portion of the contoured bottom surface.
2. The lid of claim 1, wherein the upper surface of the cover plate is flat.
3. The lid of claim 1, wherein the upper surface of the cover plate and the second portion of the contoured bottom surface are parallel.
4. The cover of claim 1, further comprising:
an O-ring groove disposed in the upper surface of the cover plate around the central opening.
5. The lid of claim 1, wherein the contoured bottom surface has a mirror finish.
6. The lid of claim 1, wherein the cover plate is made of metal.
7. The lid of claim 1, wherein the cover plate is made of: aluminum, aluminum alloys, steel, stainless steel, alloys thereof, or combinations thereof.
8. The cap of any one of claims 1-7, further comprising:
a gas distribution plate configured to be coupled to the lid plate such that the contoured bottom surface of the lid plate extends to and contacts the gas distribution plate, wherein the gas distribution plate has a plurality of apertures disposed therethrough such that when the gas distribution plate is coupled to the lid plate, a sole path from the central opening to a region below the gas distribution plate passes through the plurality of apertures.
9. The lid of claim 8, wherein the gas distribution plate is formed of a non-corrosive ceramic material.
10. The lid of claim 8, wherein the gas distribution plate is formed of aluminum oxide or aluminum nitride.
11. The cover of claim 8, wherein each of the plurality of slits has an equivalent fluid conductivity.
12. The lid of claim 8, wherein each slit of the plurality of slits is a through-hole having an upper portion with a cone-pit hole, a cylindrical central portion extending perpendicularly to the upper surface of the gas distribution plate, and a lower portion tapering outward from a center of the slit.
13. The lid of claim 8, wherein the gas distribution plate comprises a central portion containing the plurality of apertures and a first stepped portion surrounding the plurality of apertures and configured to engage the second portion of the contoured bottom surface of the lid plate.
14. The lid of claim 13, wherein the gas distribution plate further comprises a second stepped portion surrounding the first stepped portion and configured to engage with the upper flange of the lid plate.
15. A lid assembly kit for a substrate processing chamber, comprising:
a cover plate comprising an upper surface and a contoured bottom surface, the upper surface having a central opening and the contoured bottom surface having a first portion and a second portion, the first portion extending downwardly and outwardly from the central opening to a peripheral portion of the cover plate and the second portion extending radially outwardly along the peripheral portion of the cover plate, the cover plate having an upper flange extending radially outwardly from the cover plate and one or more channels formed through the cover plate from a top surface of the cover plate to the second portion of the contoured bottom surface; and
a gas distribution plate configured to be coupled to the lid plate such that the contoured bottom surface of the lid plate extends to and contacts the gas distribution plate, wherein the gas distribution plate has a plurality of apertures disposed therethrough such that when the gas distribution plate is coupled to the lid plate, the only path from the central opening to the area below the gas distribution plate passes through the plurality of apertures, wherein the gas distribution plate includes a central portion, a first stepped portion and a second stepped portion, the central portion including the plurality of slits, the first step portion surrounds the plurality of apertures and is configured to engage with the second portion of the contoured bottom surface of the cap plate, the second step portion surrounds the first step portion and is configured to engage with the upper flange of the cap plate.
16. The lid assembly kit of claim 15, wherein each of the plurality of slits has an equivalent fluid conductivity.
17. The lid assembly kit of claim 15, wherein the gas distribution plate is formed of a non-corrosive ceramic material and the lid plate is made of metal.
18. The lid assembly kit of claim 15, wherein the gas distribution plate is formed of aluminum oxide or aluminum nitride, and the lid plate is made of: aluminum, aluminum alloys, steel, stainless steel, alloys thereof, or combinations thereof.
CN202110047033.1A 2015-04-22 2016-04-19 Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate Active CN112877675B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110047033.1A CN112877675B (en) 2015-04-22 2016-04-19 Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201562151180P 2015-04-22 2015-04-22
US62/151,180 2015-04-22
US14/734,838 US11384432B2 (en) 2015-04-22 2015-06-09 Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US14/734,838 2015-06-09
CN201680022766.7A CN107532297B (en) 2015-04-22 2016-04-19 Atomic layer deposition chamber with funnel-shaped gas distribution channel and gas distribution plate
PCT/US2016/028253 WO2016172085A1 (en) 2015-04-22 2016-04-19 Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
CN202110047033.1A CN112877675B (en) 2015-04-22 2016-04-19 Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201680022766.7A Division CN107532297B (en) 2015-04-22 2016-04-19 Atomic layer deposition chamber with funnel-shaped gas distribution channel and gas distribution plate

Publications (2)

Publication Number Publication Date
CN112877675A true CN112877675A (en) 2021-06-01
CN112877675B CN112877675B (en) 2024-03-08

Family

ID=57144181

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202110047033.1A Active CN112877675B (en) 2015-04-22 2016-04-19 Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
CN201680022766.7A Active CN107532297B (en) 2015-04-22 2016-04-19 Atomic layer deposition chamber with funnel-shaped gas distribution channel and gas distribution plate

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201680022766.7A Active CN107532297B (en) 2015-04-22 2016-04-19 Atomic layer deposition chamber with funnel-shaped gas distribution channel and gas distribution plate

Country Status (8)

Country Link
US (2) US11384432B2 (en)
EP (1) EP3286352A4 (en)
KR (2) KR102640272B1 (en)
CN (2) CN112877675B (en)
IL (2) IL284142B2 (en)
SG (2) SG11201707640WA (en)
TW (2) TWI722871B (en)
WO (1) WO2016172085A1 (en)

Families Citing this family (310)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
KR101792941B1 (en) * 2015-04-30 2017-11-02 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드, 상하이 A Chemical Vapor Deposition Apparatus and Its Cleaning Method
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102102320B1 (en) * 2016-06-28 2020-04-22 주식회사 원익아이피에스 Wafer Processing Apparatus And Method of depositing Thin film Using The Same
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (en) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
WO2018132788A1 (en) * 2017-01-16 2018-07-19 Larry Baxter Method and apparatus for desublimation prevention in a direct contact heat exchanger
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US9972501B1 (en) * 2017-03-14 2018-05-15 Nano-Master, Inc. Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11164737B2 (en) * 2017-08-30 2021-11-02 Applied Materials, Inc. Integrated epitaxy and preclean system
US10147597B1 (en) 2017-09-14 2018-12-04 Lam Research Corporation Turbulent flow spiral multi-zone precursor vaporizer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
CN111344522B (en) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 Including clean mini-environment device
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11014853B2 (en) * 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
SG11202010405RA (en) * 2018-07-31 2021-02-25 Applied Materials Inc Gas box for cvd chamber
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
WO2020243288A1 (en) 2019-05-28 2020-12-03 Applied Materials, Inc. Thermal process chamber lid with backside pumping
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US20230357927A1 (en) * 2019-09-22 2023-11-09 Applied Materials, Inc. Ald cycle time reduction using process chamber lid with tunable pumping
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
JP2023507111A (en) * 2019-12-17 2023-02-21 アプライド マテリアルズ インコーポレイテッド High density plasma chemical vapor deposition chamber
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
US11087959B2 (en) 2020-01-09 2021-08-10 Nano-Master, Inc. Techniques for a hybrid design for efficient and economical plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD)
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11640900B2 (en) 2020-02-12 2023-05-02 Nano-Master, Inc. Electron cyclotron rotation (ECR)-enhanced hollow cathode plasma source (HCPS)
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
WO2021257773A1 (en) * 2020-06-17 2021-12-23 Applied Materials, Inc. High temperature chemical vapor deposition lid
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
WO2022051079A1 (en) * 2020-09-02 2022-03-10 Applied Materials, Inc. Showerhead design to control stray deposition
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
TW202403086A (en) * 2021-07-12 2024-01-16 美商應用材料股份有限公司 Improved showerhead pumping geometry for precursor containment
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115572938B (en) * 2022-07-18 2024-03-22 江西弘耀光学水晶有限公司 High-precision optical lens coating method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040144311A1 (en) * 2002-11-14 2004-07-29 Ling Chen Apparatus and method for hybrid chemical processing
CN102762767A (en) * 2010-03-12 2012-10-31 应用材料公司 Atomic layer deposition chamber with multi inject
US20130196507A1 (en) * 2012-01-31 2013-08-01 Paul F. Ma Method Of Depositing Metals Using High Frequency Plasma
US20140097270A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Chemical control features in wafer process equipment
WO2014178160A1 (en) * 2013-04-30 2014-11-06 東京エレクトロン株式会社 Film formation device

Family Cites Families (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3144035A (en) * 1963-02-01 1964-08-11 Nat Res Corp High vacuum system
US4229655A (en) * 1979-05-23 1980-10-21 Nova Associates, Inc. Vacuum chamber for treating workpieces with beams
JPS5764228A (en) * 1980-10-08 1982-04-19 Fuji Photo Film Co Ltd Silver halide photographic material
CA1272661A (en) * 1985-05-11 1990-08-14 Yuji Chiba Reaction apparatus
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5359254A (en) * 1990-06-26 1994-10-25 Research Institute Of Applied Mechanics And Electrodynamics Plasma compensation cathode
GB9202434D0 (en) * 1992-02-05 1992-03-18 Xaar Ltd Method of and apparatus for forming nozzles
US5578132A (en) * 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
US5512078A (en) * 1994-03-24 1996-04-30 Griffin; Stephen E. Apparatus for making linearly tapered bores in quartz tubing with a controlled laser
EP0738788B1 (en) * 1995-04-20 2003-08-13 Ebara Corporation Thin-Film vapor deposition apparatus
JP3380091B2 (en) * 1995-06-09 2003-02-24 株式会社荏原製作所 Reactive gas injection head and thin film vapor phase growth apparatus
KR100492258B1 (en) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 Reaction gas ejection head
EP1008674B1 (en) * 1997-04-11 2013-05-29 Tokyo Electron Limited Elecrode unit and processor
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
JP3314151B2 (en) * 1998-01-05 2002-08-12 株式会社日立国際電気 Plasma CVD apparatus and method for manufacturing semiconductor device
US6635578B1 (en) * 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
ATE249532T1 (en) * 2000-02-04 2003-09-15 Aixtron Ag DEVICE AND METHOD FOR DEPOSITING ONE OR MORE LAYERS ONTO A SUBSTRATE
TW580735B (en) * 2000-02-21 2004-03-21 Hitachi Ltd Plasma treatment apparatus and treating method of sample material
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US7103443B2 (en) * 2001-06-29 2006-09-05 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
US7780789B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20080102208A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US20080102203A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US20030140857A1 (en) * 2002-01-28 2003-07-31 Applied Materials, Inc. Apparatus and method for low pressure CVD deposition of tungsten and tungsten nitride
JP4090347B2 (en) 2002-03-18 2008-05-28 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US20030224217A1 (en) * 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US7217336B2 (en) * 2002-06-20 2007-05-15 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
US6962348B2 (en) * 2002-07-29 2005-11-08 Tokyo Electron Limited Sealing apparatus having a single groove
US7032352B2 (en) 2002-07-31 2006-04-25 Zebuhr William H Structure to limit damage due to failure
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US7097886B2 (en) * 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
WO2004061888A2 (en) * 2002-12-20 2004-07-22 Tokyo Electron Limited Method and apparatus for determining consumable lifetime
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP2004239251A (en) * 2003-02-06 2004-08-26 Aisan Ind Co Ltd Fuel injection valve
US20040173313A1 (en) * 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
EP1629522A4 (en) * 2003-05-30 2008-07-23 Aviza Tech Inc Gas distribution system
US6852139B2 (en) * 2003-07-11 2005-02-08 Excellatron Solid State, Llc System and method of producing thin-film electrolyte
US6886240B2 (en) * 2003-07-11 2005-05-03 Excellatron Solid State, Llc Apparatus for producing thin-film electrolyte
JP2005109194A (en) 2003-09-30 2005-04-21 Japan Steel Works Ltd:The Cleaning device of cvd reaction chamber
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
CN100466162C (en) * 2003-12-15 2009-03-04 应用材料有限公司 Edge flow faceplate for improvement of cvd film properties
US7892357B2 (en) 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20050218115A1 (en) * 2004-02-06 2005-10-06 Applied Materials, Inc. Anti-clogging nozzle for semiconductor processing
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
KR20060060731A (en) * 2004-03-31 2006-06-05 가부시키가이샤 히다치 고쿠사이 덴키 Semiconductor device manufacturing method
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060137608A1 (en) 2004-12-28 2006-06-29 Choi Seung W Atomic layer deposition apparatus
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
JP4943669B2 (en) * 2005-06-08 2012-05-30 東京エレクトロン株式会社 Vacuum device seal structure
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
JP4997842B2 (en) * 2005-10-18 2012-08-08 東京エレクトロン株式会社 Processing equipment
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
WO2007084493A2 (en) * 2006-01-19 2007-07-26 Asm America, Inc. High temperature ald inlet manifold
US20070163716A1 (en) 2006-01-19 2007-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution apparatuses and methods for controlling gas distribution apparatuses
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
KR20070093197A (en) * 2006-03-13 2007-09-18 삼성전자주식회사 Showerhead and film depositing apparatus including the same
US8268078B2 (en) * 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US8034176B2 (en) * 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US20070289534A1 (en) * 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
KR101064354B1 (en) * 2006-11-09 2011-09-14 가부시키가이샤 알박 Barrier film forming method
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US8235001B2 (en) * 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
KR101125086B1 (en) * 2007-04-17 2012-03-21 가부시키가이샤 알박 Film forming apparatus
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US20090084317A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US8092606B2 (en) * 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
JP5308679B2 (en) * 2008-01-22 2013-10-09 東京エレクトロン株式会社 Seal mechanism, seal groove, seal member, and substrate processing apparatus
TWI498988B (en) * 2008-02-20 2015-09-01 Tokyo Electron Ltd A gas supply device, a film forming apparatus, and a film forming method
JP5223377B2 (en) * 2008-02-29 2013-06-26 東京エレクトロン株式会社 Electrode for plasma processing apparatus, plasma processing apparatus and plasma processing method
JP2009239082A (en) 2008-03-27 2009-10-15 Tokyo Electron Ltd Gas feeding device, treating device, and treating method
JP5243089B2 (en) * 2008-04-09 2013-07-24 東京エレクトロン株式会社 Seal structure of plasma processing apparatus, sealing method, and plasma processing apparatus
JP5396745B2 (en) * 2008-05-23 2014-01-22 東京エレクトロン株式会社 Plasma processing equipment
KR20110022036A (en) * 2008-06-02 2011-03-04 맷슨 테크놀로지, 인크. Method for treating substrates
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
JP5231117B2 (en) * 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー Film forming apparatus and film forming method
US8187381B2 (en) 2008-08-22 2012-05-29 Applied Materials, Inc. Process gas delivery for semiconductor process chamber
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
EP2292953A1 (en) * 2009-09-07 2011-03-09 Fei Company High-vacuum seal
TWI385272B (en) 2009-09-25 2013-02-11 Ind Tech Res Inst Gas distribution plate and apparatus using the same
US8329587B2 (en) * 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
US8742665B2 (en) * 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US20110136346A1 (en) * 2009-12-04 2011-06-09 Axcelis Technologies, Inc. Substantially Non-Oxidizing Plasma Treatment Devices and Processes
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US20110303146A1 (en) * 2009-12-28 2011-12-15 Osamu Nishijima Plasma doping apparatus
US8721791B2 (en) * 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US8771539B2 (en) * 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US20120220116A1 (en) * 2011-02-25 2012-08-30 Applied Materials, Inc. Dry Chemical Cleaning For Semiconductor Processing
US9064815B2 (en) * 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
KR101234594B1 (en) * 2011-07-25 2013-02-19 피에스케이 주식회사 Baffle and substrate treating apparatus including the baffle
US8771536B2 (en) * 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US20130045605A1 (en) * 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8679982B2 (en) * 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) * 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8808563B2 (en) * 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8586479B2 (en) * 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
US9330939B2 (en) * 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9976215B2 (en) * 2012-05-01 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film formation apparatus and process
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9230815B2 (en) * 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US20140261802A1 (en) * 2013-03-13 2014-09-18 Becquerel & Sievert Co., Ltd. Vacuum isolation device
JP5793170B2 (en) 2013-09-30 2015-10-14 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
US8951429B1 (en) * 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
JP5764228B1 (en) * 2014-03-18 2015-08-12 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, program, and recording medium
US11302520B2 (en) * 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
JP5792364B1 (en) * 2014-07-31 2015-10-07 株式会社日立国際電気 Substrate processing apparatus, chamber lid assembly, semiconductor device manufacturing method, program, and recording medium
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
JP5916909B1 (en) * 2015-02-06 2016-05-11 株式会社日立国際電気 Substrate processing apparatus, gas rectifier, semiconductor device manufacturing method and program
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
WO2020243288A1 (en) * 2019-05-28 2020-12-03 Applied Materials, Inc. Thermal process chamber lid with backside pumping

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040144311A1 (en) * 2002-11-14 2004-07-29 Ling Chen Apparatus and method for hybrid chemical processing
CN102762767A (en) * 2010-03-12 2012-10-31 应用材料公司 Atomic layer deposition chamber with multi inject
US20130196507A1 (en) * 2012-01-31 2013-08-01 Paul F. Ma Method Of Depositing Metals Using High Frequency Plasma
US20140097270A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Chemical control features in wafer process equipment
WO2014178160A1 (en) * 2013-04-30 2014-11-06 東京エレクトロン株式会社 Film formation device

Also Published As

Publication number Publication date
SG10202111772XA (en) 2021-12-30
KR20170140282A (en) 2017-12-20
IL284142A (en) 2021-07-29
EP3286352A4 (en) 2019-01-23
CN112877675B (en) 2024-03-08
IL284142B2 (en) 2024-04-01
SG11201707640WA (en) 2017-11-29
US20160312360A1 (en) 2016-10-27
CN107532297B (en) 2021-02-02
TWI693298B (en) 2020-05-11
TW201718927A (en) 2017-06-01
US20210246552A1 (en) 2021-08-12
KR102640272B1 (en) 2024-02-22
US11384432B2 (en) 2022-07-12
IL284142B1 (en) 2023-12-01
TWI722871B (en) 2021-03-21
IL254759A (en) 2017-12-31
KR102631744B1 (en) 2024-01-30
TW202028525A (en) 2020-08-01
IL254759B2 (en) 2023-05-01
CN107532297A (en) 2018-01-02
US11932939B2 (en) 2024-03-19
KR20210046839A (en) 2021-04-28
EP3286352A1 (en) 2018-02-28
IL254759B1 (en) 2023-01-01
WO2016172085A1 (en) 2016-10-27

Similar Documents

Publication Publication Date Title
CN107532297B (en) Atomic layer deposition chamber with funnel-shaped gas distribution channel and gas distribution plate
KR102661401B1 (en) Atomic layer deposition chamber with thermal lid
KR101810532B1 (en) Atomic layer deposition chamber with multi inject
JP7401560B2 (en) Heat treatment chamber lid with backside pumping
KR200495609Y1 (en) Showerhead and process chamber comprising same
KR20120048685A (en) Vortex chamber lids for atomic layer deposition
TWI838240B (en) Thermal process chamber lid with backside pumping

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant