CN112749526A - 电源轨设计方法、装置及其非瞬时计算机可读介质 - Google Patents

电源轨设计方法、装置及其非瞬时计算机可读介质 Download PDF

Info

Publication number
CN112749526A
CN112749526A CN201911046322.9A CN201911046322A CN112749526A CN 112749526 A CN112749526 A CN 112749526A CN 201911046322 A CN201911046322 A CN 201911046322A CN 112749526 A CN112749526 A CN 112749526A
Authority
CN
China
Prior art keywords
power
areas
circuit
integrated circuit
power rail
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201911046322.9A
Other languages
English (en)
Other versions
CN112749526B (zh
Inventor
黄晟宸
吴韵如
林欣樟
高淑怡
陈志展
许家荣
林立镒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Realtek Semiconductor Corp
Original Assignee
Realtek Semiconductor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Realtek Semiconductor Corp filed Critical Realtek Semiconductor Corp
Priority to CN201911046322.9A priority Critical patent/CN112749526B/zh
Publication of CN112749526A publication Critical patent/CN112749526A/zh
Application granted granted Critical
Publication of CN112749526B publication Critical patent/CN112749526B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本公开涉及一种电源轨设计方法、装置及其非瞬时计算机可读介质,该方法包含:在集成电路设计档中,辨识多个电源轨以及所对应的多个电源区域;对于集成电路设计档中经由布局及绕线后产生的多个电路单元进行设计规范验证,以获取对应于各电源区域中的电源轨的多个未违规电路区域;以及将集成电路设计档的电源轨对应至少部分未违规电路区域进行加宽,以占据至少部分未违规电路区域。

Description

电源轨设计方法、装置及其非瞬时计算机可读介质
技术领域
本发明涉及一种集成电路设计技术,且特别是涉及一种电源轨设计方法、装置及其非瞬时计算机可读介质。
背景技术
在电源轨上容易发生较严重的电迁移以及电压衰退,对于在电源规划(powerplan)时,为了降低电源轨上电迁移以及电压衰退所造成的负面影响,先前的方法大多为使用更多的绕线资源供电源规划使用,例如将电源轨从一个金属层(Metal layer)增加为两个金属层,但使用越多的金属层会使得绕线资源越来越少,提高设计的难度。
因此,如何设计一个新的电源轨设计方法、装置及其非瞬时计算机可读介质,乃为此一业界亟待解决的问题。
发明内容
发明内容旨在提供本发明内容的简化摘要,以使阅读者对本发明内容具备基本的理解。此发明内容并非本公开内容的完整概述,且其用意并非在指出本发明实施例的重要/关键组件或界定本发明的范围。
本发明内容的一目的是在提供一种电源轨设计方法、装置及其非瞬时计算机可读介质,藉以改善先前技术的问题。
为达上述目的,本发明内容的一技术方案是涉及一种电源轨(power rail)设计方法,包含:在集成电路设计档中,辨识多个电源轨以及所对应的多个电源区域(powerdomain);对于集成电路设计档中经由布局及绕线(place and route)后产生的多个电路单元进行设计规范验证(design rule check;DRC),以获取对应于各电源区域中的电源轨的多个未违规电路区域;以及将集成电路设计档的电源轨对应至少部分未违规电路区域进行加宽,以占据至少部分未违规电路区域。
本发明内容的另一技术方案涉及一种电源轨设计装置,包含:内存以及处理器。内存配置以储存多个计算机可读指令。处理器电性耦接于内存,并配置以获取并执行计算机可读指令,以执行电源轨设计方法,电源轨设计方法包含:在集成电路设计档中,辨识多个电源轨以及所对应的多个电源区域;对于集成电路设计档中经由布局及绕线后产生的多个电路单元进行设计规范验证,以获取对应于各电源区域中的电源轨的多个未违规电路区域;以及将集成电路设计档的电源轨对应至少部分未违规电路区域进行加宽,以占据至少部分未违规电路区域。
本发明内容的又一技术方案涉及一种非瞬时计算机可读介质,包含多个计算机可读指令,其中当这些计算机可读指令由一计算机***的一处理器执行时,使该处理器执行一电源轨设计方法,该电源轨设计方法包含:在集成电路设计档中,辨识多个电源轨以及所对应的多个电源区域;对于集成电路设计档中经由布局及绕线后产生的多个电路单元进行设计规范验证,以获取对应于各电源区域中的电源轨的多个未违规电路区域;以及将集成电路设计档的电源轨对应至少部分未违规电路区域进行加宽,以占据至少部分未违规电路区域。
本发明的电源轨设计方法、装置及其非瞬时计算机可读介质可在设计规范验证后,根据电路单元的未违规电路区域,来对电源轨加宽,以提高电源轨的电性表现。
附图说明
为让本发明的上述和其他目的、特征、优点与实施例能更明显易懂,所附图式的说明如下:
图1为本发明一实施例中一种电源轨设计装置的方框图;
图2为本发明一实施例中一种电源轨设计方法的流程图;
图3为本发明一实施例中一个集成电路的布局示意图;以及
图4为本发明一实施例中用以执行图2的步骤203的方法的流程图。
具体实施方式
请参照图1。图1为本发明一实施例中一种电源轨设计装置1的方框图。电源轨设计装置1包含:内存100、处理器102、网络单元104、储存单元106及输入输出单元108。上述的组件可藉由例如,但不限于总线110与彼此进行通信。
内存100为可用以储存数据的任何储存装置,可为例如,但不限于随机存取内存(random access memory;RAM)、只读内存(read only memory;ROM)、闪存、硬盘或其他可用以储存数据的储存装置。内存100配置以至少储存多个计算机可读指令101。于一实施例中,内存100亦可用以储存处理器102进行运算时产生的暂存数据。
处理器102电性耦接于内存100,配置以自内存100存取计算机可读指令101,以控制电源轨设计装置1中的组件执行电源轨设计装置1的功能。
其中,网络单元104配置以在处理器102的控制下进行网络的存取。储存单元106可为例如,但不限于磁盘或光盘,以在处理器102的控制下储存数据或是指令。输入输出单元108为可由用户操作以与处理器102通信,进行数据的输入与输出。
请参照图2。图2为本发明一实施例中一种电源轨设计方法200的流程图。电源轨设计方法200可应用于图1所示的电源轨设计装置1中,或由其他硬件组件如数据库、一般处理器、计算器、服务器、或其他具特定逻辑电路的独特硬件装置或具特定功能的设备来实际操作,如将程序代码和处理器/芯片整合成独特硬件。更详细地说,电源轨设计方法200可使用计算机程序实现,以控制电源轨设计装置1的各组件。计算机程序可储存于一非瞬时计算机可读记录介质中,例如只读存储器、闪存、软盘、硬盘、光盘、随身碟、磁带、可由网络存取的数据库或熟悉此技艺者可轻易思及具有相同功能的计算机可读记录介质。
电源轨设计方法200包含下列步骤(应了解到,在本实施方式中所提及的步骤,除特别叙明其顺序者外,均可依实际需要调整其前后顺序,甚至可同时或部分同时执行)。
于步骤201,在集成电路设计档103中,辨识多个电源轨以及所对应的多个电源区域。
于一实施例中,集成电路设计档103可储存于例如,但不限于内存100中,并由处理器102获取。集成电路设计档包含多个不同的电路单元以及电源轨的设计数据。
请参照图3。图3为本发明一实施例中,一个集成电路3的布局示意图。
集成电路3包含电路单元以及电源轨。在图3中,是范例性地绘示电路单元300、310以及电源轨320、330。
电路单元300、310在不同实施例中,可为各种逻辑运算门、运算单元或其他具有特定功能的电路,以对输入的数据进行运算与处理。于一实施例中,此些电路单元300、310为标准单元(standard cell),为最小的电路单元。
电源轨320、330分别通过电源接点,例如接点N1、N2,电性耦接于电路单元300、310。于一实施例中,电源轨320、330其中之一者是连接至非接地电压源(未示出)的电源线,以由电压源提供电源至电路单元300、310;另一者则是连接至接地电位(未示出)的接地线,以提供接地电位至电路单元300、310。
针对不同需求的电路单元300、310,电源轨320、330可能提供不同电压的电源,或是相同电压但不同来源的电源。因此,当电路单元300、310是根据不同的电源运作时,将分别属于不同的电源区域。
于步骤202,对于集成电路设计档103中经由布局及绕线后产生的电路单元300、310进行设计规范验证,以获取对应于各电源区域中的电源轨320、330的多个未违规电路区域。
为使任意摆放下均可符合设计规范,电路单元300、310往往不会将其金属宽度填满,而具有弹性空间。因此,在将电路单元300、310经由布局及绕线产生,并进行设计规范验证后,将可得到多个未违规电路区域。其中,至少部分未违规电路区域为邻接而对应于电源轨320、330,例如图3所范例性示出的未违规电路区域340。
于步骤203,将集成电路设计档103的电源轨320、330对应未违规电路区域340进行加宽,以占据至少部分未违规电路区域340。
由于这些未违规电路区域340并未违反设计规范,因此可被利用以将电源轨320、330加宽,而延伸至未违规电路区域340中。
于一实施例中,电源轨320、330加宽是依据所属的电源区域来加宽。举例而言,当电源轨320、330是接收来自电压源的电源时,则加宽的部分亦是用以接收电压源的电源。而当电源轨320、330是接收接地电位时,则加宽的部分亦是用以接收接地电位。
于一实施例中,如果将所有的未违规电路区域340均用于电源轨320、330的加宽,将对集成电路设计与修改的弹性造成影响。因此,于一实施例中,可对集成电路设计档103中的电路单元300、310进行分析,以仅针对根据分析结果所挑选的未违规电路区域340来加宽。
请参照图4。图4为本发明一实施例中,用以执行图2的步骤203的方法400的流程图。
于步骤401,在获取未违规电路区域340后,可对集成电路设计档103中的电路单元300、310进行仿真产生电流与电压分析,以判断电路单元300、310中的电性表现弱点区域。其中,电性表现弱点区域为容易受到电源电压降(IR drop)以及/或电迁移(electromigration;EM)影响的区域。
于步骤402,判断未违规电路区域340以及电性表现弱点区域的交集。
于步骤403,判断交集的数量是否小于一个默认值。
于步骤404,当交集的数量接着小于默认值时,仅将电源轨320、330对应未违规电路区域340以及电性表现弱点区域的交集加宽。
而当交集的数量不小于默认值时,即表示未违规电路区域340以及电性表现弱点区域的交集的数量依然太多。
在这样的情形下,于步骤405,在集成电路设计档103中判断自一个电压源(例如通过电源轨320、330所连接的电压源)至电路单元300、310中的多个电源传输路径中的最小电阻路径。其中,在最小电阻路径上,较容易有较大的电流通过。
于步骤406,在未违规电路区域340以及电性表现弱点区域的交集中,判断位于最小电阻路径上的候选区域。
于步骤407,仅将电源轨320、330对应候选区域加宽。
本发明的电源轨设计方法、装置及其非瞬时计算机可读介质可在设计规范验证后,根据电路单元的未违规电路区域,来对电源轨加宽,在不占用绕线阶段前的绕线资源且不违反设计规范的情形下,有效地解决电源轨的电源电压降以及电迁移问题。
虽然上文实施方式中揭露了本发明的具体实施例,然其并非用以限定本发明,本发明所属技术领域中具有通常知识者,在不背离本发明的原理与精神的情形下,当可对其进行各种更动与修饰,因此本发明的保护范围当以附随权利要求范围所界定者为准。
【符号说明】
1:电源轨设计装置
101:计算机可读指令
103:集成电路设计档
106:储存单元
110:总线
201至203:步骤
300、310:电路单元
340:未违规电路区域
401至407:步骤
100:内存
102:处理器
104:网络单元
108:输入输出单元
200:电源轨设计方法
3:集成电路
320、330:电源轨
400:方法
N1、N2:接点。

Claims (10)

1.一种电源轨设计方法,包含:
在一集成电路设计档中,辨识多个电源轨以及所对应的多个电源区域;
对于该集成电路设计档中经由布局及绕线后产生的多个电路单元进行设计规范验证,以获取对应于各个这些电源区域中的这些电源轨的多个未违规电路区域;以及
将该集成电路设计档的这些电源轨对应的至少部分这些未违规电路区域进行加宽,以占据至少部分这些未违规电路区域。
2.根据权利要求1所述的电源轨设计方法,还包含:
对这些电路单元进行仿真产生电流与电压分析,以判断这些电路单元中的多个电性表现弱点区域;以及
仅将这些电源轨对应这些未违规电路区域以及这些电性表现弱点区域的交集加宽。
3.根据权利要求2所述的电源轨设计方法,其中,这些电性表现弱点区域为容易受到电源电压降以及/或电迁移影响的区域。
4.根据权利要求1所述的电源轨设计方法,还包含:
在该集成电路设计档中,判断自一电压源至这些电路单元中的多个电源传输路径中的一最小电阻路径;
在这些未违规电路区域以及这些电性表现弱点区域的交集中,判断位于该最小电阻路径上的多个候选区域;以及
仅将这些电源轨对应的这些候选区域加宽。
5.根据权利要求1所述的电源轨设计方法,其中,这些电源轨分别为一电源线或一接地线。
6.一种电源轨设计装置,包含:
一内存,配置以储存多个计算机可读指令;以及
一处理器,电性耦接于该内存,并配置以获取并执行这些计算机可读指令,以执行一电源轨设计方法,该电源轨设计方法包含:
在一集成电路设计档中,辨识多个电源轨以及所对应的多个电源区域;
对于该集成电路设计档中经由布局及绕线后产生的多个电路单元进行设计规范验证,以获取对应于各个这些电源区域中的这些电源轨的多个未违规电路区域;以及
将该集成电路设计档的这些电源轨对应的至少部分这些未违规电路区域进行加宽,以占据至少部分这些未违规电路区域。
7.根据权利要求6所述的电源轨设计装置,其中,该电源轨设计方法还包含:
对这些电路单元进行仿真产生电流与电压分析,以判断这些电路单元中的多个电性表现弱点区域;以及
仅将这些电源轨对应的这些未违规电路区域以及这些电性表现弱点区域的交集加宽。
8.根据权利要求7所述的电源轨设计装置,其中,这些电性表现弱点区域为容易受到电源电压降以及/或电迁移影响的区域。
9.根据权利要求6所述的电源轨设计装置,其中,该电源轨设计方法还包含:
在该集成电路设计档中,判断自一电压源至这些电路单元中的多个电源传输路径中的一最小电阻路径;
在这些未违规电路区域以及这些电性表现弱点区域的交集中,判断位于该最小电阻路径上的多个候选区域;以及
仅将这些电源轨对应的这些候选区域加宽。
10.一种非瞬时计算机可读介质,包含多个计算机可读指令,其中当这些计算机可读指令由一计算机***的一处理器执行时,使该处理器执行一电源轨设计方法,该电源轨设计方法包含下列步骤:
在一集成电路设计档中,辨识多个电源轨以及所对应的多个电源区域;
对于该集成电路设计档中经由布局及绕线后产生的多个电路单元进行设计规范验证,以获取对应于各个这些电源区域中的这些电源轨的多个未违规电路区域;以及
将该集成电路设计档的这些电源轨对应的至少部分这些未违规电路区域进行加宽,以占据至少部分这些未违规电路区域。
CN201911046322.9A 2019-10-30 2019-10-30 电源轨设计方法、装置及其非瞬时计算机可读介质 Active CN112749526B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201911046322.9A CN112749526B (zh) 2019-10-30 2019-10-30 电源轨设计方法、装置及其非瞬时计算机可读介质

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201911046322.9A CN112749526B (zh) 2019-10-30 2019-10-30 电源轨设计方法、装置及其非瞬时计算机可读介质

Publications (2)

Publication Number Publication Date
CN112749526A true CN112749526A (zh) 2021-05-04
CN112749526B CN112749526B (zh) 2024-05-07

Family

ID=75640754

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201911046322.9A Active CN112749526B (zh) 2019-10-30 2019-10-30 电源轨设计方法、装置及其非瞬时计算机可读介质

Country Status (1)

Country Link
CN (1) CN112749526B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI792882B (zh) * 2022-01-24 2023-02-11 瑞昱半導體股份有限公司 基於電源軌及供電域的用於積體電路佈局的最佳化方法及最佳化裝置

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001034646A (ja) * 1999-07-16 2001-02-09 Matsushita Electric Ind Co Ltd 半導体集積回路最適化装置及び方法
JP2003296386A (ja) * 2002-04-04 2003-10-17 Matsushita Electric Ind Co Ltd 半導体集積回路の設計方法及び半導体集積回路
US20050289494A1 (en) * 2004-06-24 2005-12-29 International Business Machines Corporation I/o circuit power routing system and method
WO2009002301A1 (en) * 2007-06-25 2008-12-31 Dan Rittman System and method for automatic elimination of voltage drop
CN102280446A (zh) * 2010-06-09 2011-12-14 Lsi公司 电源网格的最优化
US20120286858A1 (en) * 2011-05-13 2012-11-15 John Philip Biggs Integrated circuit, method of generating a layout of an integrated circuit using standard cells, and a standard cell library providing such standard cells
CN103164552A (zh) * 2011-12-13 2013-06-19 中芯国际集成电路制造(上海)有限公司 芯片版图的检测方法
CN104408264A (zh) * 2014-12-12 2015-03-11 浪潮电子信息产业股份有限公司 一种基于断言的嵌入式存储控制器验证***及方法
CN107403024A (zh) * 2016-04-25 2017-11-28 联发科技股份有限公司 Ic的电压降和电迁移的分析方法及计算机可读存储介质
CN109299530A (zh) * 2018-09-12 2019-02-01 成都奥卡思微电科技有限公司 一种仿真测试案例生成方法、***、存储介质和终端

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001034646A (ja) * 1999-07-16 2001-02-09 Matsushita Electric Ind Co Ltd 半導体集積回路最適化装置及び方法
JP2003296386A (ja) * 2002-04-04 2003-10-17 Matsushita Electric Ind Co Ltd 半導体集積回路の設計方法及び半導体集積回路
US20050289494A1 (en) * 2004-06-24 2005-12-29 International Business Machines Corporation I/o circuit power routing system and method
WO2009002301A1 (en) * 2007-06-25 2008-12-31 Dan Rittman System and method for automatic elimination of voltage drop
CN102280446A (zh) * 2010-06-09 2011-12-14 Lsi公司 电源网格的最优化
US20120286858A1 (en) * 2011-05-13 2012-11-15 John Philip Biggs Integrated circuit, method of generating a layout of an integrated circuit using standard cells, and a standard cell library providing such standard cells
CN103164552A (zh) * 2011-12-13 2013-06-19 中芯国际集成电路制造(上海)有限公司 芯片版图的检测方法
CN104408264A (zh) * 2014-12-12 2015-03-11 浪潮电子信息产业股份有限公司 一种基于断言的嵌入式存储控制器验证***及方法
CN107403024A (zh) * 2016-04-25 2017-11-28 联发科技股份有限公司 Ic的电压降和电迁移的分析方法及计算机可读存储介质
CN109299530A (zh) * 2018-09-12 2019-02-01 成都奥卡思微电科技有限公司 一种仿真测试案例生成方法、***、存储介质和终端

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI792882B (zh) * 2022-01-24 2023-02-11 瑞昱半導體股份有限公司 基於電源軌及供電域的用於積體電路佈局的最佳化方法及最佳化裝置

Also Published As

Publication number Publication date
CN112749526B (zh) 2024-05-07

Similar Documents

Publication Publication Date Title
US20200272777A1 (en) Machine-Learning Design Enablement Platform
US7117466B2 (en) System and method for correlated process pessimism removal for static timing analysis
US9767240B2 (en) Temperature-aware integrated circuit design methods and systems
US8769470B2 (en) Timing closure in chip design
US8316339B2 (en) Zone-based leakage power optimization
US9740815B2 (en) Electromigration-aware integrated circuit design methods and systems
EP3239865A1 (en) Method for analyzing ir drop and electromigration of ic
US7315992B2 (en) Electro-migration (EM) and voltage (IR) drop analysis of integrated circuit (IC) designs
CN114861578B (zh) 保持时间违例修复方法、装置、设备及存储介质
US11416665B2 (en) Power rail design method, apparatus and non-transitory computer readable medium thereof
CN113051859B (zh) 用于设计上下文感知电路的方法
US11036913B2 (en) Integrated circuit methods using single-pin imaginary devices
CN112749526B (zh) 电源轨设计方法、装置及其非瞬时计算机可读介质
US9996656B2 (en) Detecting dispensable inverter chains in a circuit design
US8336013B2 (en) Determining an order for visiting circuit blocks in a circuit design for fixing design requirement violations
US20220327269A1 (en) Computing device and method for detecting clock domain crossing violation in design of memory device
US10922456B1 (en) Circuit modification for efficient electro-static discharge analysis of integrated circuits
US9384309B2 (en) Global timing modeling within a local context
US10049174B2 (en) Exact delay synthesis
TWI792882B (zh) 基於電源軌及供電域的用於積體電路佈局的最佳化方法及最佳化裝置
US20170212977A1 (en) Area and/or power optimization through post-layout modification of integrated circuit (ic) design blocks
CN116611385A (zh) 用于集成电路布局的最佳化方法及最佳化装置
US20150339427A1 (en) Integrated circuit hierarchical design tool apparatus and method of hierarchically designing an integrated circuit
JPH0389535A (ja) 半導体検証装置
JPH0554097A (ja) タイミングシミユレーシヨン方式

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant