CN112699588B - 一种功率半导体芯片元胞的热电耦合建模方法 - Google Patents

一种功率半导体芯片元胞的热电耦合建模方法 Download PDF

Info

Publication number
CN112699588B
CN112699588B CN202110025236.0A CN202110025236A CN112699588B CN 112699588 B CN112699588 B CN 112699588B CN 202110025236 A CN202110025236 A CN 202110025236A CN 112699588 B CN112699588 B CN 112699588B
Authority
CN
China
Prior art keywords
cell
power semiconductor
chip
temperature
current
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202110025236.0A
Other languages
English (en)
Other versions
CN112699588A (zh
Inventor
李武华
陈宇
吴强
周宇
罗皓泽
何湘宁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Zhejiang University ZJU
Original Assignee
Zhejiang University ZJU
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Zhejiang University ZJU filed Critical Zhejiang University ZJU
Priority to CN202110025236.0A priority Critical patent/CN112699588B/zh
Publication of CN112699588A publication Critical patent/CN112699588A/zh
Application granted granted Critical
Publication of CN112699588B publication Critical patent/CN112699588B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • G06F30/23Design optimisation, verification or simulation using finite element methods [FEM] or finite difference methods [FDM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations
    • G06F17/11Complex mathematical operations for solving equations, e.g. nonlinear equations, general mathematical optimization problems
    • G06F17/13Differential equations
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations
    • G06F17/14Fourier, Walsh or analogous domain transformations, e.g. Laplace, Hilbert, Karhunen-Loeve, transforms
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/10Numerical modelling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/08Thermal analysis or thermal optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Computational Mathematics (AREA)
  • Mathematical Analysis (AREA)
  • Mathematical Optimization (AREA)
  • Pure & Applied Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Data Mining & Analysis (AREA)
  • Computer Hardware Design (AREA)
  • Databases & Information Systems (AREA)
  • Software Systems (AREA)
  • Algebra (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Operations Research (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

本发明公开了一种功率半导体芯片元胞的热电耦合建模方法,包括以下步骤:S1、将功率半导体芯片划分为多元胞结构;S2、提取芯片的电压‑电流‑温度三维模型;S3、提取元胞的电压‑电流‑温度三维模型;S4、求出任一温度分布下元胞电流分配比例和相应损耗;S5、各元胞产生温升叠加得到芯片整体温度梯度;S6、重复步骤S4、S5直至温度梯度偏差收敛;S7、提取不同工况条件下的芯片表面温度峰值,计算对应的功率模块热阻。本发明通过将芯片集总式傅里叶级数解析热模型与多元胞分布式电学模型进行结合,实现了功率半导体芯片元胞的热电耦合建模与计算。另外,本发明所需计算量小、求解时间短、不存在收敛性问题,特别适合功率半导体芯片温度的在线预测。

Description

一种功率半导体芯片元胞的热电耦合建模方法
技术领域
本发明属于电力电子器件领域,具体涉及一种功率半导体芯片元胞的热电耦合建模方法。
背景技术
功率模块在新能源汽车动力总成***中应用广泛。高功率密度和高集成化趋势加剧了芯片表面温度梯度,而元胞电学参数具有温变效应,导致芯片形成电流密度不均匀分布特征。因汽车低速大转矩、重载定子堵转、起步加速等极端工况下半导体芯片过电流运行,元胞电流分配不均更加严重,片上温度场形成机制尚不明确,为功率模块安全运行带来隐患。因此,对功率模块片上电致温度场的建模与分析尤为迫切。
传统实验方法主要分为直接测量法与间接测量法。直接测量法主要利用红外热成像或光栅光纤,需要对功率模块进行开盖、拆解、除胶、喷漆等繁琐操作,因此只适合离线式测量。间接测量法主要利用热敏电参数,可实现在线式测量,然而间接法只能得到芯片平均温度,不能获得芯片表面温度分布,当芯片平均温度正常而局部过热时,难以探测,为新能源汽车特种工况下运行带来隐患。
因此为了实现对芯片表面温度的准确预测,国内外学者主要采用有限元法,大量依赖COMSOL、ANSYS等国外商用软件。然而该类有限元仿真软件对热电耦合效应的求解方式采用欧姆定律,即要求求解对象为纯电阻特性,而功率半导体芯片的电气特性本质上区别于纯电阻,芯片电导率也大大有别于硅材料电导率,这使得有限元软件在解决计及半导体芯片电特性问题上存在局限性。另外,在实际应用工况下,功率半导体芯片应用环境复杂,电压、电流等外部工作条件处于剧烈变化状态,而有限元商用软件无法应对电流连续变化下的芯片电气特性准确表征,进而在预测芯片电致温度场的准确性上存在极大劣势。
有鉴于此,本发明基于傅里叶级数相较有限元法易融合各类电路方程的特点,通过将芯片集总式傅里叶级数解析热模型与多元胞分布式电学模型进行结合,实现了功率半导体芯片元胞的热电耦合建模与计算。另外,由于傅里叶级数算法只进行多项式求和运算,有别于有限元法求解偏微分方程,因此本发明所需计算量小、求解时间短、不存在收敛性问题,特别适合功率半导体芯片温度的在线预测。
发明内容
综上述,本发明将芯片集总式傅里叶级数解析热模型与多元胞分布式电学模型进行结合,实现了功率半导体芯片元胞的热电耦合建模与计算。
本发明提出一种功率半导体芯片元胞的热电耦合建模方法,具体包括以下步骤:
S1、基于芯片金属层和键合线布局特征,将功率半导体芯片划分为多元胞结构;
S2、提取芯片集总参数,采用最小二乘算法建立功率半导体芯片的电压-电流-温度三维模型;
S3、根据多元胞并联电路特征,将芯片集总参数转化为元胞分布参数,建立功率半导体元胞的电压-电流-温度三维模型;
S4、由元胞的电压-电流-温度三维模型,采用数学插值算法求出任一温度分布下元胞电流分配比例和相应损耗;
S5、各元胞损耗所形成的二维温度分布场线性叠加得到芯片整体温度梯度;
S6、判断芯片整体温度梯度偏差小于某预设值则认为收敛,否则重复步骤S4、S5直至收敛,所得温度分布为功率半导体芯片元胞的热电耦合交互结果;
S7、提取不同电流下的芯片整体温度梯度,计算芯片所在功率模块在相应电流下的热阻。
根据本发明的优选实施方案,所述步骤S1中的功率半导体芯片划分过程,具体为:首先剔除芯片绝缘耐压环、门极区、门极键合线结构,选取芯片有源区为待划分区域,根据芯片金属层的个数,纵向可分为Ny个部分;然后根据键合线落点位置进行划分,一个落点位置对应一个元胞,根据键合线根数横向可分为Nx个部分;最终芯片能划分为Nx*Ny矩阵式多元胞结构。
根据本发明的优选实施方案,所述步骤S2中,采用最小二乘算法拟合可得功率半导体芯片的电压-电流-温度三维模型,表达形式如下:
Figure BDA0002890104040000021
其中,VCEsat为功率半导体芯片饱和通态电压降,Vce0为功率半导体芯片的偏置电压,r0为功率半导体芯片的动态电阻,Ichip为功率半导体芯片的集电流,Tj为功率半导体芯片的温度,A、B为与温度有关的待定常数,C为与电流有关的待定常数。
根据本发明的优选实施方案,所述步骤S3中的功率半导体元胞的电压-电流-温度三维模型,根据多元胞并联电路特征,基于功率半导体芯片的电压-电流-温度三维模型进行提取,表达形式如下:
VCEsat(Icell_i,Tcell_i)=ATcell_i+Vce0+(BTcell_i+r0)(NxNyIcell_i)C
其中,Icell_i为功率半导体元胞i的电流,Tcell_i为功率半导体元胞i的温度。
根据本发明的优选实施方案,所述步骤S4中的任一温度分布下元胞电流分配比例和相应损耗求解过程为:首先转化功率半导体元胞的电压-电流-温度三维模型为电流-电压-温度模型,使其表达式如下:
Figure BDA0002890104040000031
然后对于任一温度分布,可得功率半导体芯片电流Ichip下的元胞电流与相应损耗分布,其求解表达形式如下:
Figure BDA0002890104040000032
Pcell_i=Icell_iVCEsat
其中,Pcell_i为功率半导体元胞i的损耗。
根据本发明的优选实施方案,所述步骤S5具体为:采用傅里叶级数快速算法,可得坐标为(Xci,Yci)的功率半导体元胞i形成的温升△Tcell_i,其求解表达形式如下:
Figure BDA0002890104040000033
其中,Wcell、Lcell分别为功率半导体元胞的宽度和长度,m、n分别为傅里叶级数项,Am、Bn分别为与m、n相关的待定系数,△Tcell_i(x,y)为功率半导体元胞i在坐标(x,y)处引起的温升;
各功率半导体元胞形成的温升△Tcell_i(x,y)线性叠加后可得芯片整体温度梯度,其求解表达形式如下:
Figure BDA0002890104040000034
其中,Ta为环境温度,Tj(x,y)为功率半导体芯片在(x,y)坐标处的温度。
根据本发明的优选实施方案,所述步骤S6中的芯片整体温度梯度偏差计算过程,首先芯片整体基于环境温度Ta形成初始正向导通电压降VCEsat (0),因此产生的热损耗P(0)在芯片表面形成温度场分布Tj (0)(x,y);然后芯片各元胞(Xci,Yci)基于本地温度Tj (0)(Xci,Yci)形成新的芯片导通压降VCEsat (1),由此各元胞产生的本地热损耗Pcell_i (1)形成的温升△Tcell_i (1)(x,y)线性叠加,形成新的温度场分布Tj (1)(x,y)及VCEsat (2);以此过程迭代,重复步骤S4、S5,直至第k次迭代后VCEsat (k+1)偏差小于某特定预设值,此时Tj (k)(x,y)即为功率半导体芯片元胞热电交互后的芯片温度场结果;第k次迭代过程采用的数学表达式为:
Figure BDA0002890104040000041
Figure BDA0002890104040000042
Figure BDA0002890104040000043
Figure BDA0002890104040000044
Figure BDA0002890104040000045
其中,Pcell_i (k)为第k次迭代时功率半导体元胞i的损耗,Icell_i (k)、Icell_i (k+1)分别为第k次、第(k+1)次迭代时功率半导体元胞i的电流,VCEsat (k)、VCEsat (k+1)分别为第k次、第(k+1)次迭代时所有功率半导体元胞并联形成的饱和通态电压降,△Tcell_i (k)(x,y)为第k次迭代时功率半导体元胞i在坐标(x,y)处引起的温升,Am (k)、Bn (k)分别为第k次迭代时与m、n相关的待定系数,Tj (k)(x,y)为第k次迭代时功率半导体芯片在(x,y)坐标处的温度,Tcell_i (k)为第k次迭代时功率半导体元胞i的温度。
根据本发明的优选实施方案,所述步骤S7中的芯片表面温度峰值Tjmax,用于计算对应的功率模块热阻峰值Rth_jmax,相应的求解表达式如下:
Rth_jmax=(Tjmax-Ta)/(IchipVCEsat)。
本发明实现了计及功率半导体电学特性的芯片温度建模与计算,特别适合于功率半导体芯片温度的在线预测。
基于上述技术方案,本发明具有以下有益技术效果:
(1)本发明通过将芯片集总式热模型与多元胞分布式电学模型进行结合,实现了电流连续变化下的芯片电气特性准确表征,有效提高了芯片电致温度场建模的准确性,克服了现有有限元软件难以计及半导体芯片电学特性的缺陷。
(2)本发明采用的傅里叶级数算法只进行多项式求和运算,有别于有限元法求解偏微分方程,具有计算量小、求解时间短、响应速度快、不存在收敛性问题的优势,可实现功率半导体芯片温度的在线预测。
附图说明
图1为芯片多元胞结构示意图;
图2为功率半导体芯片的截面图;
图3为SEMiX603GB12E4p芯片导通模型与实验对比图;
图4为功率模块SEMiX603GB12E4p封装结构图;
图5为多元胞电-热迭代流程图;
图6为芯片在电流20A时的电流密度分布图;
图7为芯片在电流150A时的电流密度分布图;
图8为实验电气原理图;
图9为通过240A电流时的红外热成像图。
具体实施方式
为了更详细地解释本发明,下面将结合附图,以赛米控功率半导体模块SEMiX603GB12E4p为例对本发明做进一步详细说明。
本发明提出的功率半导体芯片元胞的热电耦合建模方法,具体步骤包括:
S1、基于芯片金属层和键合线布局特征,将功率半导体芯片划分为多元胞结构;
S2、提取芯片集总参数,采用最小二乘算法建立功率半导体芯片的电压-电流-温度三维模型;
S3、根据多元胞并联电路特征,将芯片集总参数转化为元胞分布参数,建立功率半导体元胞的电压-电流-温度三维模型;
S4、由元胞的电压-电流-温度三维模型,采用数学插值算法求出任一温度分布下元胞电流分配比例和相应损耗;
S5、各元胞损耗所形成的二维温度分布场线性叠加得到芯片整体温度梯度;
S6、判断芯片整体温度梯度偏差小于某预设值则认为收敛,否则重复步骤S4、S5直至收敛,所得温度分布为功率半导体芯片元胞的热电耦合交互结果;
S7、提取不同电流下的芯片整体温度梯度,计算芯片所在功率模块在相应电流下的热阻。
步骤S1首先剔除芯片绝缘耐压环、门极区结构,选取芯片有源区为待划分区域,根据芯片横向金属层的个数,纵向可分为4个部分;然后根据键合线落点位置进行划分,一个落点位置对应一个元胞,根据键合线数量横向可分为11个部分;最终芯片能划分为11*4矩阵式结构,如图1所示。芯片厚度为120μm,远小于功率模块的厚度,因此芯片内电流可近似为面电流,使用二维离散方法。总共流经电流为Ichip,各元胞电流依次为Icell_1、Icell_2、....、Icell_N,形成的正向导通压降为VCEsat
步骤S2中,功率半导体芯片的截面图如图2所示,其中n--漂移区与p-发射区和p-基区之间的PN结分别记为J1和J2。功率半导体的通态饱和压降由四部分组成,可表示为:
VCEsat(JC,Tj)=VJ1+Vnd+VJ2+Vch
其中,VJ1为J1结压降,Vnd为n--漂移区压降,VJ2为J2结压降,Vch为沟道压降,Tj为器件温度,JC为流过功率半导体的集电极电流密度。
功率半导体器件n--漂移区在大注入状态下空穴和电子浓度分布近似相等,在此基础上,VJ1、VJ2、Vnd和Vch可具体表示为:
Figure BDA0002890104040000061
Figure BDA0002890104040000062
Figure BDA0002890104040000063
Figure BDA0002890104040000064
Figure BDA0002890104040000065
其中,p1为w=0附近的载流子浓度,p2为w=Wnd处的载流子浓度,k为玻耳兹曼常数,q为电荷系数,Nfs和Nnd分别是n-场截止层和n--漂移区的掺杂浓度,ni为本征载流子浓度,Lch为沟道长度,Wcell为元胞节距,tox为氧化物厚度,μni为沟道中的电子迁移率,εox为栅极氧化层的介电常数,VGE为功率半导体栅极电压,VGEth为栅极阈值电压,Jn_drift为n--漂移区中的电子漂移电流密度,s0为n--漂移区载流子浓度分布的近似斜率绝对值,s0≈(p1-p2)/Wnd,μn和μp分别为电子和空穴的迁移率,Dn为电子的扩散系数。
由上式可知,芯片导通压降由结温与流经电流直接影响,同时也受器件的温度敏感参数间接影响,主要包括沟道电子迁移率μni、漂移区载流子迁移率μnp)、栅极阈值电压VGEth。赛米控功率模块SEMiX603GB12E4p以上关系可表示为:
Figure BDA0002890104040000071
Figure BDA0002890104040000072
Figure BDA0002890104040000073
VGEth(Tj)=5.9-9×10-3(Tj-300)
赛米控模块SEMiX603GB12E4p芯片集总参数基于芯片数据手册,芯片的电压-电流-温度三维模型表达形式如下:
Figure BDA0002890104040000074
图3描绘了模块SEMiX603GB12E4p所采用芯片的导通压降模型与实验结果的对比,所建数学模型与实验结果一致,可作为研究芯片元胞导通压降特性的基础。芯片正向导通压降受温度的影响与注入电流等级有关,当芯片电流大于59.1A时,正向导通压降随温度升高而增大,为正温度特性区,当芯片电流小于59.1A则相反,为负温度特性区。
步骤S3中,根据多元胞并联电路特征提取元胞电压-电流-温度三维模型,表达形式如下:VCEsat(Icell_i,Tcell_i)=-0.00232Tcell_i+0.7855+(0.00007656Tcell_i+0.01972)(44Icell_i)0.836
步骤S4中任一温度分布下元胞电流分配比例和相应损耗的求解,首先转化功率半导体元胞的电压-电流-温度三维模型为电流-电压-温度模型,使其表达式如下:
Figure BDA0002890104040000075
然后对于任一温度分布,可得功率半导体芯片电流Ichip下的元胞电流与相应损耗分布,其求解表达形式如下:
Figure BDA0002890104040000076
Pcell_i=Icell_iVCEsat
步骤S5中,所研究功率模块的封装环境如图4所示,该模块为单相上下桥臂结构,一个桥臂由三个功率半导体芯片并联组成,每个芯片有源区尺寸(长*宽*高)为9.7mm*14.5mm*120μm,由四块分立的金属层覆盖,门极区位于芯片一侧,有源区以外为绝缘终端结构。一个桥臂的DBC(Direct Bond Copper,直接覆铜陶瓷衬底)表面尺寸为48.8mm*47mm。基板背面安装在水冷板上,可认为基板背面保持为冷却液温度Ta=22℃。材料特性、厚度参数、芯片坐标如表1所示。
表1功率模块结构参数、材料参数及芯片位置
参数 数值 参数 数值
k<sub>c</sub> 98.9W/(m·℃) t<sub>N</sub> 3mm
k<sub>cs</sub>、k<sub>4</sub> 55W/(m·℃) L<sub>chip</sub>(有源区) 9.7mm
k<sub>1</sub>、k<sub>3</sub>、k<sub>N</sub> 380W/(m·℃) W<sub>chip</sub>(有源区) 14.5mm
k<sub>2</sub> 24W/(m·℃) L<sub>DBC</sub> 118.6mm
t<sub>c</sub> 0.12mm W<sub>DBC</sub> 58.6mm
t<sub>cs</sub> 0.12mm (X<sub>c1</sub>,Y<sub>c1</sub>) (70.7,24.0)mm
t<sub>1</sub>、t<sub>3</sub>、t<sub>4</sub> 0.3mm (X<sub>c2</sub>,Y<sub>c2</sub>) (84.5,34.2)mm
t<sub>2</sub> 0.32mm (X<sub>c3</sub>,Y<sub>c3</sub>) (97.5,34.2)mm
采用傅里叶级数快速算法,可得坐标为(Xci,Yci)的功率半导体元胞i形成的温升△Tcell_i,其求解表达形式如下:
Figure BDA0002890104040000081
其中,m、n分别为傅里叶级数项,Am、Bn分别为与m、n相关的待定系数,△Tcell_i(x,y)为功率半导体元胞i在坐标(x,y)处引起的温升;
各功率半导体元胞形成的温升△Tcell_i(x,y)线性叠加后可得芯片整体温度梯度,其求解表达形式如下:
Figure BDA0002890104040000082
其中,Tj(x,y)为功率半导体芯片在(x,y)坐标处的温度。
步骤S6中,首先芯片整体基于环境温度Ta形成初始正向导通电压降VCEsat (0),因此产生的热损耗P(0)在芯片表面形成温度场分布Tj (0)(x,y);然后芯片各元胞(Xci,Yci)基于本地温度Tj (0)(Xci,Yci)形成新的芯片导通压降VCEsat (1),由此各元胞产生的本地热损耗Pcell_i (1)形成的温升△Tcell_i (1)(x,y)线性叠加,形成新的温度场分布Tj (1)(x,y)及VCEsat (2);以此过程迭代,重复步骤S4、S5,直至第k次迭代后VCEsat (k+1)偏差小于某特定预设值,此时Tj (k)(x,y)即为功率半导体芯片元胞热电交互后的芯片温度场结果;迭代过程如图5所示,第k次迭代过程采用的数学表达式为:
Figure BDA0002890104040000091
Figure BDA0002890104040000092
Figure BDA0002890104040000093
Figure BDA0002890104040000094
Figure BDA0002890104040000095
其中,Pcell_i (k)为第k次迭代时功率半导体元胞i的损耗,Icell_i (k)、Icell_i (k+1)分别为第k次、第(k+1)次迭代时功率半导体元胞i的电流,VCEsat (k)、VCEsat (k+1)分别为第k次、第(k+1)次迭代时所有功率半导体元胞并联形成的饱和通态电压降,△Tcell_i (k)(x,y)为第k次迭代时功率半导体元胞i在坐标(x,y)处引起的温升,Am (k)、Bn (k)分别为第k次迭代时与m、n相关的待定系数,Tj (k)(x,y)为第k次迭代时功率半导体芯片在(x,y)坐标处的温度,Tcell_i (k)为第k次迭代时功率半导体元胞i的温度。
建模结果如下:以模块SEMiX603GB12E4p芯片为例,其正/负温度系数分界电流为59.1A,图6为芯片通过20A电流时的电流密度分布图。当电流为20A(负温度系数区),各元胞电流往芯片中间聚拢。图7为芯片在通过150A电流下的电流密度分布图。当电流为150A(正温度系数区),各元胞电流呈外扩“集肤”分布特征。
步骤S7中的芯片表面温度峰值Tjmax,用于计算对应的功率模块热阻峰值Rth_jmax,相应的求解表达式如下:
Rth_jmax=(Tjmax-Ta)/(IchipVCEsat)。
为进一步验证所提方法的有效性,将功率模块安装在散热冷板上,散热冷板内液油通过Julabo PRESTO A80控制稳定,制冷功率最高达1.2kW。采用型号为Fluke Ti450热成像仪对功率模块上表面进行测量,采用光纤测温仪Opsens 15S0208和光纤探头OTG-F-10监测功率模块壳温以确保恒定,电压表测量模块信号端子两端电压以提取集-射极电压。电气原理图如图8所示,驱动电压源提供+15V门极电压使功率半导体芯片处于完全导通状态,功率电流源提供直流大电流用于加热模块。改变不同电流后,经热成像仪观察温度稳定后记录热成像温度图谱与半导体芯片压降值。240A时上桥臂的热成像图谱结果展示于图9。
表2实验与模型结果对比表(上桥臂)
Figure BDA0002890104040000101
实验结果与所提出模型结果对比如表2所示。测温点从左至右依次位于三个芯片中心,并将位置坐标代入所提解析模型中得出相应温度,并与实验结果对比。所有测温点的误差结果不超过2.50%,验证了所提出建模方法的有效性。
上述对实施例的描述是为便于本技术领域的普通技术人员能理解和应用本发明。熟悉本领域技术的人员显然可以容易地对上述实施例做出各种修改,并把在此说明的一般原理应用到其他实施例中而不必经过创造性的劳动。因此,本发明不限于上述实施例,本领域技术人员根据本发明的揭示,对于本发明做出的改进和修改都应该在本发明的保护范围之内。

Claims (4)

1.一种功率半导体芯片元胞的热电耦合建模方法,其特征在于,包括以下步骤:
S1、基于芯片金属层和键合线布局特征,将功率半导体芯片划分为多元胞结构;
S2、提取芯片集总参数,采用最小二乘算法建立功率半导体芯片的电压-电流-温度三维模型;
S3、根据多元胞并联电路特征,将芯片集总参数转化为元胞分布参数,建立功率半导体元胞的电压-电流-温度三维模型;所述步骤S3中的功率半导体元胞的电压-电流-温度三维模型,根据多元胞并联电路特征,基于功率半导体芯片的电压-电流-温度三维模型进行提取,表达形式如下:
VCEsat(Icell_i,Tcell_i)=ATcell_i+Vce0+(BTcell_i+r0)(NxNyIcell_i)C
其中,Icell_i为功率半导体元胞i的电流,Tcell_i为功率半导体元胞i的温度;Nx、Ny分别表示功率半导体芯片划分成多元胞结构的横向数量和纵向数量;VCEsat为功率半导体芯片饱和通态电压降,Vce0为功率半导体芯片的偏置电压,r0为功率半导体芯片的动态电阻;A、B为与温度有关的待定常数;
S4、由元胞的电压-电流-温度三维模型,采用数学插值算法求出任一温度分布下元胞电流分配比例和相应损耗;所述步骤S4中的任一温度分布下元胞电流分配比例和相应损耗求解过程为:首先转化功率半导体元胞的电压-电流-温度三维模型为电流-电压-温度模型,使其表达式如下:
Figure FDA0003514887300000011
然后对于任一温度分布,可得功率半导体芯片电流Ichip下的元胞电流与相应损耗分布,其求解表达形式如下:
Figure FDA0003514887300000012
Pcell_i=Icell_iVCEsat
其中,Pcell_i为功率半导体元胞i的损耗;Ichip为功率半导体芯片的集电流;C为与电流有关的待定常数;
S5、各元胞损耗所形成的二维温度分布场线性叠加得到芯片整体温度梯度;所述步骤S5具体为:采用傅里叶级数快速算法,可得坐标为(Xci,Yci)的功率半导体元胞i形成的温升△Tcell_i
其求解表达形式如下:
Figure FDA0003514887300000021
其中,Wcell、Lcell分别为功率半导体元胞的宽度和长度,m、n分别为傅里叶级数项,Am、Bn分别为与m、n相关的待定系数,△Tcell_i(x,y)为功率半导体元胞i在坐标(x,y)处引起的温升;
各功率半导体元胞形成的温升△Tcell_i(x,y)线性叠加后可得芯片整体温度梯度,其求解表达形式如下:
Figure FDA0003514887300000022
其中,Ta为环境温度,Tj(x,y)为功率半导体芯片在(x,y)坐标处的温度;
S6、判断芯片整体温度梯度偏差小于某预设值则认为收敛,否则重复步骤S4、S5直至收敛,所得温度分布为功率半导体芯片元胞的热电耦合交互结果;所述步骤S6中的芯片整体温度梯度偏差计算过程,首先芯片整体基于环境温度Ta形成初始正向导通电压降VCEsat (0),因此产生的热损耗P(0)在芯片表面形成温度场分布Tj (0)(x,y);然后芯片各元胞(Xci,Yci)基于本地温度Tj (0)(Xci,Yci)形成新的芯片导通压降VCEsat (1),由此各元胞产生的本地热损耗Pcell_i (1)形成的温升△Tcell_i (1)(x,y)线性叠加,形成新的温度场分布Tj (1)(x,y)及VCEsat (2),其中VCEsat (2)为第2次迭代时所有功率半导体元胞并联形成的饱和通态电压降;以此过程迭代,重复步骤S4、S5,直至第k次迭代后VCEsat (k+1)偏差小于某特定预设值,此时Tj (k)(x,y)即为功率半导体芯片元胞热电交互后的芯片温度场结果;第k次迭代过程采用的数学表达式为:
Figure FDA0003514887300000023
Figure FDA0003514887300000024
Figure FDA0003514887300000025
Figure FDA0003514887300000026
Figure FDA0003514887300000027
其中,Pcell_i (k)为第k次迭代时功率半导体元胞i的损耗,Icell_i (k)、Icell_i (k+1)分别为第k次、第(k+1)次迭代时功率半导体元胞i的电流,VCEsat (k)、VCEsat (k+1)分别为第k次、第(k+1)次迭代时所有功率半导体元胞并联形成的饱和通态电压降,△Tcell_i (k)(x,y)为第k次迭代时功率半导体元胞i在坐标(x,y)处引起的温升,Am (k)、Bn (k)分别为第k次迭代时与m、n相关的待定系数,
Figure FDA0003514887300000031
为第k次迭代时功率半导体芯片在(x,y)坐标处的温度,Tcell_i (k)为第k次迭代时功率半导体元胞i的温度;
S7、提取不同电流下的芯片整体温度梯度,计算芯片所在功率模块在相应电流下的热阻。
2.根据权利要求1所述的功率半导体芯片元胞的热电耦合建模方法,其特征在于:所述步骤S1中的功率半导体芯片划分过程,具体为:首先剔除芯片绝缘耐压环、门极区、门极键合线结构,选取芯片有源区为待划分区域,根据芯片金属层的个数,纵向可分为Ny个部分;然后根据键合线落点位置进行划分,一个落点位置对应一个元胞,根据键合线根数横向可分为Nx个部分;最终芯片能划分为Nx*Ny矩阵式多元胞结构。
3.根据权利要求1所述的功率半导体芯片元胞的热电耦合建模方法,其特征在于:所述步骤S2中,采用最小二乘算法拟合可得功率半导体芯片的电压-电流-温度三维模型,表达形式如下:
Figure FDA0003514887300000032
其中,VCEsat为功率半导体芯片饱和通态电压降,Vce0为功率半导体芯片的偏置电压,r0为功率半导体芯片的动态电阻,Ichip为功率半导体芯片的集电流,Tj为功率半导体芯片的温度,A、B为与温度有关的待定常数,C为与电流有关的待定常数。
4.根据权利要求1所述的功率半导体芯片元胞的热电耦合建模方法,其特征在于:所述步骤S7中的芯片表面温度峰值Tjmax,用于计算对应的功率模块热阻峰值Rth_jmax,相应的求解表达式如下:
Rth_jmax=(Tjmax-Ta)/(IchipVCEsat)。
CN202110025236.0A 2021-01-08 2021-01-08 一种功率半导体芯片元胞的热电耦合建模方法 Active CN112699588B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110025236.0A CN112699588B (zh) 2021-01-08 2021-01-08 一种功率半导体芯片元胞的热电耦合建模方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202110025236.0A CN112699588B (zh) 2021-01-08 2021-01-08 一种功率半导体芯片元胞的热电耦合建模方法

Publications (2)

Publication Number Publication Date
CN112699588A CN112699588A (zh) 2021-04-23
CN112699588B true CN112699588B (zh) 2022-04-05

Family

ID=75513451

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110025236.0A Active CN112699588B (zh) 2021-01-08 2021-01-08 一种功率半导体芯片元胞的热电耦合建模方法

Country Status (1)

Country Link
CN (1) CN112699588B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113688593B (zh) * 2021-08-11 2023-10-13 上海交通大学 一种三维集成电路片间混合键合布局布线优化方法
CN113536600B (zh) * 2021-08-17 2024-01-30 浙江大学 一种功率模块绑定线布局优化设计方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108550567A (zh) * 2018-04-16 2018-09-18 全球能源互联网研究院有限公司 一种功率半导体芯片集成元胞栅电阻版图设计

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7401304B2 (en) * 2004-01-28 2008-07-15 Gradient Design Automation Inc. Method and apparatus for thermal modeling and analysis of semiconductor chip designs
EP2372586A1 (en) * 2010-04-05 2011-10-05 Universidad Politecnica De Madrid Method implemented in a computer for the numerical simulation of semiconductor devices comprising tunnel junctions
CN101969068A (zh) * 2010-08-06 2011-02-09 浙江大学 一种高压功率半导体器件的边缘终端结构
EP2568268A1 (en) * 2011-09-07 2013-03-13 kk-electronic a/s Method for estimating the temperature of a semiconductor chip
US8543960B1 (en) * 2012-05-31 2013-09-24 International Business Machines Corporation Power and timing optimization for an integrated circuit by voltage modification across various ranges of temperatures
CN104596658B (zh) * 2014-12-19 2017-05-24 广东美的制冷设备有限公司 功率器件和智能功率模块
CN105529369B (zh) * 2016-03-08 2019-05-14 中国电子科技集团公司第二十四研究所 一种半导体元胞结构和功率半导体器件
CN107315877B (zh) * 2017-06-28 2020-06-19 华北电力大学 一种预测功率器件结温的方法及***
CN107622172B (zh) * 2017-10-13 2021-05-04 重庆大学 芯片-器件层级联合的压接式igbt温度场有限元建模方法
CN108897916B (zh) * 2018-05-31 2024-06-04 全球能源互联网研究院有限公司 一种芯片及器件设计的联合仿真方法和***
CN111508913A (zh) * 2020-05-06 2020-08-07 贵州大学 一种基于硅通孔的大功率芯片背面散热方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108550567A (zh) * 2018-04-16 2018-09-18 全球能源互联网研究院有限公司 一种功率半导体芯片集成元胞栅电阻版图设计

Also Published As

Publication number Publication date
CN112699588A (zh) 2021-04-23

Similar Documents

Publication Publication Date Title
CN107315877B (zh) 一种预测功率器件结温的方法及***
CN112699588B (zh) 一种功率半导体芯片元胞的热电耦合建模方法
Hu et al. Online calculation of the increase in thermal resistance caused by solder fatigue for IGBT modules
CN107622172B (zh) 芯片-器件层级联合的压接式igbt温度场有限元建模方法
US6144085A (en) Power transistor device having hot-location and cool-location temperature sensors
CN105825019B (zh) 一种绝缘栅双极晶体管igbt模块温度求解算法
Yang et al. A temperature-dependent Cauer model simulation of IGBT module with analytical thermal impedance characterization
CN103792476A (zh) 用于半导体器件的热阻测试方法
Qi et al. Comprehensive assessment of avalanche operating boundary of SiC planar/trench MOSFET in cryogenic applications
Spirito et al. Thermal instabilities in high current power MOS devices: experimental evidence, electro-thermal simulations and analytical modeling
CN104573266A (zh) 一种基于三维建模的分析空洞对igbt热可靠性影响的方法
CN113536600B (zh) 一种功率模块绑定线布局优化设计方法
Li et al. Thermal imbalance among paralleling chips in power modules and the impact from traction inverter system view
Zhang et al. A high-sensitivity online junction temperature monitoring method for SiC mosfets based on the turn-on drain–source current overshoot
CN102520331B (zh) 用于sti型ldmos器件的界面陷阱测试方法
Zhu et al. Investigation of two high-temperature bipolar phenomena and characteristics of 1.2 kV SiC power diodes for high-temperature applications
CN203773016U (zh) 一种smd-0.5封装功率半导体器件热阻测试装置
Peng et al. Analytical model for predicting the junction temperature of chips considering the internal electrothermal coupling inside SiC metal–oxide–semiconductor field‐effect transistor modules
Chen et al. The distributed heat source modeling method for the finite element simulation of IGBTs
Zhijie et al. Evaluation of chip temperature for multichip IGBT modules by using the thermo-sensitive electrical parameter (TSEP)
Chen et al. Temperature Distribution Evaluation of Single Chip by Multiple Currents V CE (T) Method
CN113176293B (zh) 一种采用点阵接触方式测量异质结界面热导率的结构及方法
CN102646721B (zh) 半导体装置及其试验方法
Shimozato et al. Analysis of Thermal Concentration Failure in Unclamped Inductive Switching Based on Three-Dimensional Electro-Thermal Simulation With On-Chip Variation
CN104218026B (zh) 半导体检测结构及检测方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant