CN112262464A - 包括具有经冷却的面板的喷头的衬底处理室 - Google Patents

包括具有经冷却的面板的喷头的衬底处理室 Download PDF

Info

Publication number
CN112262464A
CN112262464A CN201980039640.4A CN201980039640A CN112262464A CN 112262464 A CN112262464 A CN 112262464A CN 201980039640 A CN201980039640 A CN 201980039640A CN 112262464 A CN112262464 A CN 112262464A
Authority
CN
China
Prior art keywords
coolant
showerhead
plenum
substrate
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980039640.4A
Other languages
English (en)
Inventor
达莫达尔·拉贾拉姆·尚巴格
纳格拉杰·尚卡尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN112262464A publication Critical patent/CN112262464A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Electromagnetism (AREA)
  • Automation & Control Theory (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种用于衬底处理室的喷头包含:内壁;内充气腔,其位于所述内壁之间;面板,其具有第一表面与第二表面,所述第二表面与所述第一表面相反;穿过所述面板的孔,其从所述第一表面延伸到所述第二表面;第一入口,其与所述内充气腔流体地连接;外壁;第一外充气腔,其位于所述内壁与所述外壁之间;第二外充气腔,其位于所述内壁与所述外壁之间;以及冷却剂通道,其:使所述第一外充气腔与所述第二外充气腔流体地连接;设置在所述面板内且在所述第一与第二表面之间;并且与所述孔流体地隔离。所述喷头还包括:第二入口,其与所述第一外充气腔流体地连接。

Description

包括具有经冷却的面板的喷头的衬底处理室
相关申请的交叉引用
本申请要求于2018年6月12日申请的美国专利申请No.16/006,355的优先权。上述引用的申请其全部公开内容都通过引用合并于此。
技术领域
本公开涉及衬底处理***,并且更具体地涉及具有经冷却的喷头的衬底处理室。
背景技术
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
衬底处理***可用于处理例如半导体晶片之类的衬底。可在衬底上被执行的示例性处理包含但不限于沉积、蚀刻、清扫以及其他种类的处理。
衬底可被布置在位于处理室中的衬底支撑件上,例如底座或静电卡盘(ESC)上。处理室内的喷头分布气体或气体混合物。等离子体用于引发处理室内的化学反应。
发明内容
在一特征中,描述了一种用于衬底处理室的喷头。所述喷头包含:内壁;内充气腔,其位于所述内壁之间;面板,其具有第一表面与第二表面,所述第二表面与所述第一表面相反;穿过所述面板的孔,其从所述第一表面延伸到所述第二表面;第一入口,其与所述内充气腔流体地连接;外壁;第一外充气腔,其位于所述内壁与所述外壁之间;第二外充气腔,其位于所述内壁与所述外壁之间;以及冷却剂通道,其:使所述第一外充气腔与所述第二外充气腔流体地连接;设置在所述面板内且在所述第一与第二表面之间;并且与所述孔流体地隔离。所述还包括:第二入口,其与所述第一外充气腔流体地连接。
在其他特征中,所述冷却剂通道穿过所述面板的方向垂直于所述孔穿过所述面板的第二方向。
在其他特征中,所述喷头包含:杆部分,其包含第一端和第二端,并且包含第一直径;以及基座部分,其与所述杆部分的所述第二端连接,并且包含大于所述第一直径的第二直径。
在其他特征中,所述冷却剂通道中的每一个与所述冷却剂通道中的其他的每一个平行。
在其他特征中,所述喷头还包含出口,其与所述第二外充气腔流体地连接。
在其他特征中,所述喷头还包含分隔部件,其设置在所述内壁与所述外壁之间,并且将所述第一外充气腔与所述第二外充气腔流体地隔离。
在其他特征中,所述喷头还包含第二孔,其:从所述第二表面延伸到所述冷却剂通道;并且不延伸到所述第一表面。
在其他特征中,所述第二孔与穿过所述面板的所述孔平行。
在其他特征中,所述第二孔与穿过所述面板的所述孔流体地隔离。
在其他特征中,一种处理***包含:所述衬底处理室;所述喷头,其中所述喷头设置在所述衬底处理室内;冷却剂组件,其设置成将冷却剂提供至所述第二入口;以及温度控制器,其设置成在所述衬底处理室内的衬底上的膜的等离子体增强化学气相沉积(PECVD)期间,控制所述冷却剂组件,以将所述冷却剂冷却至小于或等于预定温度。
在其他特征中,所述膜为氮化硅(SiN)。
在其他特征中,所述的处理***还包含***控制器,其设置成针对所述衬底上的所述膜的所述PECVD,使硅烷和包含氮的气体经由所述第一入口、所述内充气腔和所述孔流到所述衬底处理室内。
在其他特征中,所述***控制器被设置成在所述喷头与所述衬底之间产生等离子体。
在其他特征中,所述温度控制器进一步设置成在从所述衬底处理室内清扫经由等离子体增强化学气相沉积(PECVD)而沉积在衬底上的膜的期间,控制所述冷却剂组件,以将所述冷却剂冷却至小于或等于所述预定温度。
在其他特征中,所述膜为氮化硅(SiN)。
在其他特征中,所述处理***还包含***控制器,所述***控制器被设置成在所述清扫期间,使三氟化氮(NF3)经由所述第一入口、所述内充气腔和所述孔流到所述衬底处理室内。
在其他特征中,所述面板由铝构成。
在其他特征中,描述了一种用于衬底处理室的喷头。所述喷头包含:内充气腔;面板,其具有第一表面与第二表面,所述第二表面与所述第一表面相反;穿过所述面板的孔,其从所述第一表面到所述第二表面;第一入口,其与所述内充气腔流体地连接;第一外充气腔,其设置在所述内充气腔的径向外侧;第二外充气腔,其设置在所述内充气腔的径向外侧;冷却剂通道,其:使所述第一外充气腔与所述第二外充气腔流体地连接;设置在所述面板内且在所述第一表面与所述第二表面之间;并且不与穿过所述面板的所述孔相交。所述喷头还包括:第二入口,其与所述第一外充气腔流体地连接;以及出口,其与所述第一外充气腔流体地连接。
在其他的特征中,一种处理***包含:所述衬底处理室;所述喷头,其中所述喷头被设置在所述衬底处理室内,且所述面板由铝构成;和冷却剂组件,其被设置成将冷却剂输入至所述第二入口并且接收来自所述出口的所述冷却剂;以及温度控制器,其设置成在下列期间控制所述冷却剂组件,以将所述冷却剂冷却至小于或等于预定温度:在所述衬底处理室内的衬底上的膜的等离子体增强化学气相沉积(PECVD)期间;以及在从所述衬底处理室内清扫所述膜期间。
在其他的特征中,所述处理***还包含***控制器,所述***控制器被设置成:针对所述衬底上的所述膜的所述PECVD,使硅烷和包含氮的气体经由所述第一入口、所述内充气腔和所述孔流到所述衬底处理室内;以及在所述清扫期间,使三氟化氮(NF3)经由所述第一入口、所述内充气腔和所述孔流到所述衬底处理室内,其中所述膜为氮化硅(SiN)。
根据详细描述、权利要求和附图,本公开内容的适用性的进一步的范围将变得显而易见。详细描述和具体示例仅用于说明的目的,并非意在限制本公开的范围。
附图说明
根据详细描述和附图将更充分地理解本公开,其中:
图1为一示例性处理室的功能框图;
图2包含功能框图,其包括含有冷却剂组件的示例性冷却***;
图3包含示例性喷头的横截面图。
图4包含示例性喷头的仰视图:
图5包含示例性喷头的横截面图;
图6与7包含喷头的示例基座部分的三维横截面图:以及
图8包含描绘在(a)衬底上的膜的沉积期间与(b)处理室的清扫期间冷却喷头的示例性方法的流程图。
在附图中,可以重复使用附图标记来标识相似和/或相同的元件。
具体实施方式
衬底支撑件在处理室中支撑衬底。喷头在处理室内分布工艺气体。例如,在沉积期间,喷头分配一或多种前体气体,以在衬底上沉积膜。在处理室的清扫期间,喷头分配一或多种清扫气体,以将膜从喷头和处理室中的其他表面移除。
处理室的清扫根据事件执行,或者在膜开始从喷头和/或处理室内的其他表面剥落之前被定期地(例如每隔预定沉积循环次数)执行。在沉积期间,膜的薄片可能会落在衬底上,这增加了缺陷。
用于沉积(例如等离子体增强化学气相沉积(PECVD))的喷头不被冷却。依照本公开内容的喷头在膜的沉积期间以及在处理室的清扫期间被冷却。
在剥落开始之前在喷头上的膜的厚度与喷头的温度有关。通过在沉积期间冷却喷头,可在膜开始从喷头剥落之前,允许在喷头上累积较厚的膜。因此,当喷头被冷却时,可较不频繁地执行清扫循环。换言之,当喷头被冷却时,在连续的清扫循环之间可处理更多的衬底。
在清扫期间对喷头的面板进行冷却减少喷头在清扫期间被磨损的量。因此,在清扫期间对喷头进行冷却可增加喷头的寿命并且增加喷头更换之间的时间。
现在参考图1,显示了示例性衬底处理***100。仅举例而言,衬底处理***100可用于化学气相沉积(CVD)、等离子体增强CVD(PECVD)、原子层沉积(ALD)、等离子体增强ALD(PEALD、蚀刻和/或一或多种类型的处理。
衬底处理***100包含处理室102,该处理室包围衬底处理***100的部件并且容纳射频(RF)等离子体。虽然显示了衬底处理***100与处理室102的示例,但本公开内容也可应用于其他类型的衬底处理***与处理室,例如原位产生等离子体的衬底处理***、(例如使用等离子体管、微波管)实现远程等离子体产生与输送的衬底处理***等等。
处理室102包含衬底支撑件106,例如静电卡盘(ESC)。将衬底108布置在衬底支撑件106上,并且在衬底108上执行一或多个等离子体处理。例如,可在处理室102内的衬底上沉积氮化硅(SiN)膜。虽然提供了SiN膜的示例,但本申请还可应用于其他化学品的膜的沉积。
喷头109作为上电极并将工艺气体导入且分布于处理室102内。在下文中进一步讨论喷头109。
衬底支撑件106可包含作为下电极的导电底板110。底板110支撑陶瓷层112。可将热阻层114(例如接合层)设置在陶瓷层112与底板110之间。底板110可包含用于使冷却剂流过底板110的一或多个冷却剂通道116。在某些示例中,可将保护密封件176设置成围绕在陶瓷层112与底板110之间的热阻层114的周边。
RF产生***120产生RF电压并且将其输出至上电极(例如喷头109)与下电极(例如衬底支撑件106的底板110)中的一者,以激励并维持等离子体。上电极与下电极中的另一者可被直流(DC)接地、交流(AC)接地、或浮置。仅为示例,RF产生***120可包含用于产生RF电压的RF电压产生器122,该RF电压通过匹配与分布网络124而被馈送至上电极或下电极。
气体输送***130包含一或多个气体源132-1、132-2、...、以及132-N(统称为气体源132),其中,N为大于零的整数。气体源132供应一或多种沉积气体、蚀刻气体、载气、惰性气体以及其混合物。例如,气体源132供应一或多种前体气体,以在衬底上沉积膜。附加地或替代地,气体源132可供应用于从处理室102内清扫膜的一或多种清扫气体(例如分子氢)。气体源132还供应吹扫气体。
通过阀134-1、134-2、…、以及134-N(统称为阀134)与质量流量控制器136-1、136-2、...、以及136-N(统称为质量流量控制器136),将气体源132连接至歧管140。仅举例而言,歧管140的输出物被馈送到喷头109并且从喷头109输出至处理室102。虽然提供了一个歧管的示例,但喷头109可接收来自多于一个歧管的气体。
温度控制器142可连接至多个加热元件,例如布置在陶瓷层112中的热控制元件(TCE)144。例如,TCE144可包含但不限于对应于多区加热板中的相应区域的大型加热元件和/或跨越多区加热板的多个区域设置的微型加热元件的阵列。TCE144例如可以是电阻式加热器(当功率分别施加至加热器时,加热器会产生热)、或另一合适类型的加热元件。温度控制器142控制TCE144,以控制在衬底支撑件106与衬底108上的各种位置处的温度。
温度控制器142还与冷却剂组件146通信并且控制流过冷却剂通道116的冷却剂(流体)流。冷却剂可以是液体或气体。温度控制器142操作冷却剂组件146而选择性地使冷却剂流过冷却剂通道116,以对衬底支撑件106进行冷却。对衬底支撑件106进行冷却是对衬底支撑件106上的衬底进行冷却。温度控制器142可与冷却剂组件146一起控制TCE144,以例如在一或多个处理期间实现一或多个目标温度和/或一或多个目标冷却剂流率。
阀150与泵152可用于将反应物及其他气体从处理室102抽空(吹扫掉)。***控制器160可用于控制衬底处理***100的部件。机械手170可用于将衬底输送到衬底支撑件106上并且将衬底从该衬底支撑件移除。例如,机械手170可在衬底支撑件106与装载锁172之间传送衬底。虽然被显示成分开的控制器,但温度控制器142可实现于***控制器160内。
在某些示例中,衬底支撑件106包含边缘环180。边缘环180可相对于衬底108移动(例如可在竖直方向上往上与往下移动)。例如,可响应于***控制器160经由致动器来控制边缘环180的移动。在某些示例中,用户可经由用户界面183将控制参数输入至***控制器160,该用户接口包含一或多种输入机构、显示器等等。
喷头109包含杆部分182,该杆部分包含可连接至处理室102的顶表面的一端。喷头109还包含基座部分184,该基座部分在径向上从位于与处理室102的顶表面间隔开的位置处的杆部分182的相反端朝外延伸。杆部分182与基座部分184可由例如铝或另一合适的导电材料制造。喷头109的基座部分184的面板185包含面向衬底表面并且包含多个孔,工艺气体流过该多个孔。
沉积到衬底上的膜也累积在处理室102内,例如累积在处理室102的内壁与喷头109上。如果不从处理室102内清扫/移除膜的话,则膜可能会在膜沉积期间(例如从喷头109)剥落而增加衬底的缺陷。
***控制器160定期地(例如每当发生预定事件时或每当在处理室102内已处理预定数量的衬底时)执行处理室102的清扫循环。该预定数量被校正并且被设定成小于在膜沉积期间膜开始从喷头109剥落时的衬底的数量。***控制器160使用等离子体以及一或多种清扫气体(例如三氟化氮(NF3)),从处理室102内及喷头109清扫膜。虽然提供了三氟化氮的示例,但另一合适的清扫气体化学品可被使用来清扫膜。
根据本申请,经由冷却剂组件186,温度控制器142在衬底上的膜的沉积期间以及在处理室102的清扫期间冷却喷头109。冷却剂组件186在沉积期间以及在清扫期间将冷却剂泵送通过喷头109,以对面板185以及喷头109进行冷却。
通过在沉积期间冷却喷头109,可在膜开始从喷头109剥落之前,允许在喷头109上累积较厚的膜。因此,可较不频繁地执行清扫循环。换言之,在连续清扫循环之间,可在处理室102内处理更多的衬底。
在清扫期间对喷头109的面板进行冷却而减少由清扫气体所引起的喷头109的磨损。例如,与喷头109不被冷却也不被(例如经由通过衬底支撑件108的冷却剂流)间接冷却相比,当喷头109被冷却时因清扫所引起的面板185的粗糙度与发射率(emissivity)变化会较小。因此,在清扫期间对喷头109进行冷却可增加喷头109的寿命并且增加喷头更换之间的时间。
图2包含功能框图,其包括含有冷却剂组件186的示例性冷却***200。冷却***200可包含第一三通比例阀(之后称为第一阀)204、第二三通比例阀(之后称为第二阀)206、第三三通比例阀(之后称为第三阀)208以及第一与第二温度控制单元(TCU)(冷却剂源)216与218。第一TCU216供应在第一温度下的冷却剂。第二TCU218供应在第二温度下的冷却剂。虽然提供了二个TCU的示例,但可仅实现一个TCU或者可实现多于二个的TCU。
在某些实现方案中,可固定第一TCU216与第二TCU218中的每一者的流率。第一TCU216与第二TCU218的流率可以相同或不同。例如,第一TCU216可具有第一固定流率,而第二TCU218可具有与第一固定流率相同或不同的第二固定流率。第一TCU216与第二TCU218各自包含泵。第一TCU216的泵将冷却剂泵送至第一阀204,而第二TCU218的泵将冷却剂泵送至第二阀206。第一TCU216与第二TCU218还各自包含一或多个加热设备(例如电热器)和/或一或多个冷却设备(例如冷却器),其用于加热和/或冷却第一TCU216与第二TCU218内的冷却剂。
第一阀204具有输入口220、第一输出口222、以及第二输出口(或旁路)224。第二阀206具有输入口226、第一输出口228、以及第二输出口(或旁路)230。第三阀208具有输入口232、第一输出口234、以及第二输出口236。
第一阀204的输入口220经由第一流体线路238接收来自第一TCU216的在第一固定流率下的、在第一温度下的冷却剂。第二阀206的输入口226经由第二流体线路240接收来自第二TCU218的在第二固定流率下的、在第二温度下的冷却剂。
第一阀204的第一输出口222将所接收到的来自第一TCU216的冷却剂的第一部分输出到供应线路242内。第二阀206的第一输出口228将所接收到的来自第二TCU218的冷却剂的第一部分输出到供应线路242内。从第一阀204与第二阀206各自的第一输出口222与228所输出的冷却剂的第一部分在供应线路242中混合。在供应线路242中的经混合的冷却剂被输入到喷头109。
温度控制器142控制第一阀204与第二阀206,从而控制从第一阀204与第二阀206各自的第一输出口222与228输出到供应线路242的冷却剂的第一部分的量。温度控制器142控制第一阀204与第二阀206,并且基于目标(或设定点)温度而决定该量。
温度控制器142在衬底上的膜的沉积期间以及在处理室102的清扫期间,将目标温度设定成预定温度。该预定温度被校正并且可例如小于或等于大约380摄氏度。在各种实现方案中,温度控制器142可在衬底上的膜的沉积期间将目标温度设定成预定温度,并且在处理室102的清扫期间将目标温度设定成第二预定温度(其不同于第一预定温度)。第一与第二预定温度小于或等于约380摄氏度。如在此所使用的,约一词可意指与相关值相差+/-10个百分比。在各种实现方案中,温度控制器142可在其他时间将目标温度设定成一或多个其他温度。
通过第一阀204所接收到的来自第一TCU216的冷却剂的第二(剩余)部分可经由第一阀204的第二输出口(或旁路)224并且经由流体线路244而回到第一TCU216。通过第二阀206所接收到的来自第二TCU218的冷却剂的第二(剩余)部分可经由第二阀206的第二输出口(或旁路)230并且经由流体线路246而回到第二TCU218。
由于通过第一阀204与第二阀206所接收到的冷却剂的第二部分回到第一TCU 216与第二TCU 218,所以第一TCU 216与第二TCU 218可以相应的固定流率将冷却剂供应至第一阀204与第二阀206。这可简化第一TCU 216与第二TCU 218的设计。例如,第一TCU 216与第二TCU 218的泵可以单一速度来进行操作。虽然是以单一速度来进行操作,但可经由调整第一阀204和/或第二阀206的开口来实现目标温度。
从喷头109输出的冷却剂经由返回线路248而通过第三阀208的输入口232所接收。第三阀208在第一TCU 216与第二TCU 218之间分配所返回的冷却剂。通过第三阀208所接收到的冷却剂的第一部分经由第三阀208的第一输出口234并通过流体线路250与流体线路244而回到第一TCU216。通过第三阀208所接收到的冷却剂的第二部分经由第三阀208的第二输出口236并通过流体线路252与流体线路246而回到第二TCU218。
温度控制器142控制第三阀208,并且决定分别从第三阀208的第一输出口234与第二输出口236输出到第一TCU 216与第二TCU 218的冷却剂的第一与第二部分的适当或目标量。例如,温度控制器142基于所接收到的来自第一TCU 216与第二TCU 218中的液位传感器217与219的数据而监测第一TCU 216与第二TCU 218中的冷却剂的液位。温度控制器142判定第一TCU 216与第二TCU 218的每一者中的冷却剂的液位,并且基于所述液位而决定返回到第一TCU 216与第二TCU 218的冷却剂的第一与第二部分的量。
温度传感器254(例如热电偶)感测输入到喷头109的冷却剂的温度。流率传感器(例如流量表)256测量通过供应线路242输入到喷头109的冷却剂的流率。虽然未显示,但第二温度传感器与第二流量表可耦合至返回线路248并且测量通过返回线路248的冷却剂的温度和流率。
温度控制器142可包含比例积分微分(PID)控制器或另一合适类型的闭环控制器。温度控制器142基于冷却剂待被供应至喷头109的目标温度而控制通过第一阀204与第二阀206所供应的冷却剂的量。例如,温度控制器142可控制第一阀204与第二阀206,以将通过温度传感器254所测量的温度朝目标温度调整或调整至目标温度。
此外,温度控制器142基于冷却剂待被供应至喷头109的目标流率而控制通过第一阀204与第二阀206所供应的冷却剂的量。例如,温度控制器142可控制第一阀204与第二阀206,以将通过流率传感器256所测量的流率朝向目标流率调整或调整至目标流率。
图3是喷头109的示例的横截面图。如上所述,喷头109包含杆部分182与基座部分184。基座部分184包含面板185。
内充气腔312被设置在内壁308之间。工艺气体经由第一入口316从歧管140流到内充气腔312内。工艺气体经由穿过面板185的孔314从内充气腔312流到处理室102内。可在内充气腔312内布置挡板320。挡板320可设置成将工艺气体以在径向上朝外的方式分布于内充气腔312内。
第一外充气腔324与第二外充气腔326被设置在喷头109的内壁308与外壁328之间。冷却剂经由第二入口332而从冷却剂组件186流到第一外充气腔324内。冷却剂经由穿过面板185的冷却剂通道336而从第一外充气腔324流至第二外充气腔326。冷却剂通道336形成在穿过面板185的孔314之间。冷却剂通道336分布遍及面板185,以使横跨整个面板185的温度为近似相等。冷却剂经由出口340而从第二外充气腔326流至冷却剂组件186。冷却剂通道336可与孔314垂直。
图4包含朝向面板185观看的喷头109的示例性实现方案的仰视图。在图4中,冷却剂通道336与面板185的面向衬底的表面之间的材料已被移除,以说明冷却剂通道336与孔314。
分隔部件404延伸穿过杆部分182与基座部分184。分隔部件404将第一外充气腔324与第二外充气腔326隔开,以使冷却剂仅能通过冷却剂通道336而从第一外充气腔324流至第二外充气腔326。例如,分隔部件404可围绕喷头109彼此相对180度设置。冷却剂通道336中的每一个可与冷却剂通道336的其余彼此平行。冷却剂通道336中的相邻者之间的距离可以是相同的、不同的、或是相同与不同距离的组合。
虽然提供了示例性的孔数量与排列,但本申请也可应用于其他的孔数量和/或排列。同样地,虽然提供了示例性的冷却剂通道数量与排列,但本申请也可应用于其他的冷却剂通道数量和/或排列。
图5包含喷头109的示例性实现方案的横截面图。在图5的示例中,该横截面是在分隔部件404处获得。如图所示,每一冷却剂通道336可包含一组二或多个的单独冷却剂通道504。虽然提供了三个单独冷却剂通道504的示例,但每一冷却剂通道336可包含一个、二个、或多于两个的单独冷却剂通道。当每一冷却剂通道336包含二或多个单独冷却剂通道时,在整个喷头的面板185的冷却可以更加均匀。在各种实现方案中,可在内充气腔312内实施隔离部件508。
图6包含喷头109的基座部分184的示例性实现方案的三维横截面图。在图6的示例中,该横截面再次于分隔部件404处获得。仅为了说明的目的,已截短冷却剂通道336的长度。冷却剂通道336延伸至喷头109的径向外边缘,于此处,冷却剂通道336通往第一外充气腔324与第二外充气腔326。在图6的示例中,未显示形成第一外充气腔324与第二外充气腔326的外壁的外壁328。
图7包含喷头109的基座部分184的示例性实现方案的三维横截面图。在各种实现方案中,冷却剂既可冷却喷头109且可被注入到处理室102内。具体而言,孔704可形成穿过面板185而到达冷却剂通道336,以使冷却剂从冷却剂通道336流到处理室102内。在各种实现方案中,分隔部件404可被省略,以使第一外充气腔324与第二外充气腔326不被隔开,而喷头109包含一个外充气腔。在这种实施方案中,出口340可被省略并且可被一或多个额外冷却剂入口所替代。
仅为了说明的目的,已再次截短冷却剂通道336的长度。冷却剂通道336延伸至喷头109的径向外边缘,在此处,冷却剂通道336通往第一外充气腔324与第二外充气腔326。在图7的示例中,未显示形成第一外充气腔324与第二外充气腔326的外壁的外壁328。
图8包含描绘在衬底上的膜的沉积期间与处理室102的清扫期间冷却喷头109的示例性方法的流程图。控制可起始于将衬底布置在处理室102内的衬底支撑件106上。在804,温度控制器142针对衬底的膜(例如氮化硅)的沉积而控制冷却剂组件186,以在预定温度(例如大约380摄氏度或以下)将冷却剂提供至喷头109。
在808,***控制器160可判定冷却剂的温度是否小于或等于预定温度。如果808为真,则控制继续往812。如果808为假,则控制可回到804继续冷却喷头109。在多种实现方案中,808可被省略。
在812,开始进行衬底上的膜的沉积,且温度控制器142针对衬底上的膜的沉积而继续控制冷却剂组件186,以在预定温度将冷却剂提供至喷头109。在816,***控制器160控制气体输送***130,以经由喷头109将一或多种前体气体(例如硅烷(SiH4)以及包含氮的气体(例如分子氮(N2)、一氧化二氮(N2O)))提供至处理室102,以在衬底上沉积膜。也可经由喷头109将一或多种惰性气体(载气)(例如氩或氦)提供至处理室102,以在衬底上沉积膜。
在820,***控制器160控制RF产生***120以在处理室102内激励等离子体,从而在衬底上沉积膜(例如氮化硅)。通过在沉积期间冷却喷头109与面板185,可在膜不从喷头109剥落的情况下允许较厚的膜层形成在面板185上。这允许在处理室102的连续清扫循环之间,在处理室102内处理更多的衬底。
在824,***控制器160可打开阀150并且启动泵152,以将气体从处理室102吹扫掉。在多种实现方案中,824可被省略或者可在沉积完成后被执行。
在828,***控制器160判定衬底上的膜的沉积是否完成。例如,***控制器160可判定自从衬底上的膜的沉积开始进行后(例如自从812的第一情况后)的时间段是否大于预定沉积时间段。如果828为真,则控制继续往832。如果828为假,则控制可回到812而继续进行沉积。
在832,机械手170或另一机械手可将衬底从处理室102移除。机械手170或另一机械手可将衬底移至用于进行额外的处理的另一处理室。替代地,可在处理室102内的衬底上执行额外的处理。
在836,***控制器160可增大计数值(例如将计数值加上1)。因此,计数值相当于自从处理室102被最后清扫以将膜从处理室102内移除后的位于处理室102内而于其上已沉积膜的衬底的数量。
在840,***控制器160可判定计数值是否小于预定值。该预定值可被校正并且是大于一的整数。该预定值相当于在处理室102的连续清扫循环之间的(具有沉积于衬底上的膜的)待处理的衬底的数量。如果840为真,则机械手170或另一机械手可在842将下一个衬底装载到处理室102内的衬底支撑件106上,且控制可返回到804开始下一个衬底上的膜的沉积。如果840为假,则控制可继续往844。在多种实现方案中,附加地或替代地,可在每预定时间周期和/或响应使用者的输入而执行处理室102的清扫循环,以执行清扫。
在844,温度控制器142为了清扫而控制冷却剂组件186,以在预定温度将冷却剂提供至喷头109。在848,***控制器160可判定冷却剂的温度是否小于或等于预定温度。如果848为真,则控制继续往852。如果848为假,则控制可返回到844继续冷却喷头109。在多种实现方案中,848可被省略。
在852,开始进行清扫,且温度控制器142为了清扫而继续控制冷却剂组件186,以在预定温度将冷却剂提供至喷头109。在856,***控制器160控制气体输送***130,以经由喷头109将一或多种清扫气体(例如三氟化氮(NF3))提供至处理室102,以从处理室102内及喷头109将膜(例如氮化硅)进行清扫。
在860,***控制器160还控制RF产生***120,以在处理室102内激励等离子体而从处理室102内将膜(例如氮化硅)清扫。通过在清扫期间将喷头109冷却至预定温度,因清扫所引起的喷头109的变化(例如粗糙度与发射率)会减少。这使喷头的磨损降至最小并且允许在喷头109被更换之前喷头109使用较长的时间。
该膜会气化并且可经由泵152的操作而从处理室102被抽空。在864,***控制器160可打开阀150并且启动泵152,以将经气化的膜从处理室102吹扫掉。在多种实现方案中,864可被省略或者可在清扫完成后被执行。
在868,***控制器160判定清扫是否完成。例如,***控制器160可判定自从清扫开始进行后(例如自从852的第一情况后)的时间段是否大于预定清扫时间段。如果868为真,则控制可转移至842,如上所述。如果868为假,则控制可返回到852而继续进行处理室102的清扫。
前面的描述本质上仅仅是说明性的,并且绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,虽然本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方式在上面被描述为具有某些特征,但是相对于本公开的任何实施方式描述的那些特征中的任何一个或多个,可以在任何其它实施方式的特征中实现和/或与任何其它实施方式的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方式不是相互排斥的,并且一个或多个实施方式彼此的置换保持在本公开的范围内。
使用各种术语来描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,各种术语包括“连接”、“接合”、“耦合”、“相邻”、“紧挨”、“在...顶部”、“在...上面”、“在...下面”和“设置”。除非将第一和第二元件之间的关系明确地描述为“直接”,否则在上述公开中描述这种关系时,该关系可以是直接关系,其中在第一和第二元件之间不存在其它中间元件,但是也可以是间接关系,其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个、B中的至少一个和C中的至少一个”。
在一些实现方式中,控制器是***的一部分,该***可以是上述示例的一部分。这样的***可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流***等)。这些***可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个***的各种部件或子部件。根据处理要求和/或***类型,控制器可以被编程以控制本文公开的任何工艺,包括工艺气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体***连接或通过接口连接的装载锁。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清扫操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或***上或针对半导体晶片或***执行特定工艺的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,控制器可以是与***集成、耦合到***、以其它方式联网到***或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机***的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对***的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向***提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到***。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例***可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清扫室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理***。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。

Claims (20)

1.一种用于衬底处理室的喷头,所述喷头包含:
内壁;
内充气腔,其位于所述内壁之间;
面板,其具有第一表面与第二表面,所述第二表面与所述第一表面相反;
穿过所述面板的孔,其从所述第一表面延伸到所述第二表面;
第一入口,其与所述内充气腔流体地连接;
外壁;
第一外充气腔,其位于所述内壁与所述外壁之间;
第二外充气腔,其位于所述内壁与所述外壁之间;
冷却剂通道,其:
使所述第一外充气腔与所述第二外充气腔流体地连接;
设置在所述面板内且在所述第一与第二表面之间;并且
与所述孔流体地隔离;以及
第二入口,其与所述第一外充气腔流体地连接。
2.根据权利要求1所述的喷头,其中所述冷却剂通道穿过所述面板的方向垂直于所述孔穿过所述面板的第二方向。
3.根据权利要求1所述的喷头,其中所述喷头包含:
杆部分,其包含第一端和第二端,并且包含第一直径;以及
基座部分,其与所述杆部分的所述第二端连接,并且包含大于所述第一直径的第二直径。
4.根据权利要求1所述的喷头,其中所述冷却剂通道中的每一个与所述冷却剂通道中的其他的每一个平行。
5.根据权利要求1所述的喷头,其还包含出口,所述出口与所述第二外充气腔流体地连接。
6.根据权利要求1所述的喷头,其还包含分隔部件,其设置在所述内壁与所述外壁之间,并且将所述第一外充气腔与所述第二外充气腔流体地隔离。
7.根据权利要求1所述的喷头,其还包含第二孔,所述第二孔:
从所述第二表面延伸到所述冷却剂通道;并且
不延伸到所述第一表面。
8.根据权利要求7所述的喷头,其中所述第二孔与穿过所述面板的所述孔平行。
9.根据权利要求7所述的喷头,其中所述第二孔与穿过所述面板的所述孔流体地隔离。
10.一种处理***,其包含:
所述衬底处理室;
根据权利要求1所述的喷头,其中所述喷头设置在所述衬底处理室内;
冷却剂组件,其设置成将冷却剂提供至所述第二入口;以及
温度控制器,其设置成在所述衬底处理室内的衬底上的膜的等离子体增强化学气相沉积(PECVD)期间,控制所述冷却剂组件,以将所述冷却剂冷却至小于或等于预定温度。
11.根据权利要求10所述的处理***,其中所述膜为氮化硅(SiN)。
12.根据权利要求11所述的处理***,其还包含***控制器,其设置成针对所述衬底上的所述膜的所述PECVD,使硅烷和包含氮的气体经由所述第一入口、所述内充气腔和所述孔流到所述衬底处理室内。
13.根据权利要求10所述的处理***,其中所述***控制器被设置成在所述喷头与所述衬底之间产生等离子体。
14.根据权利要求10所述的处理***,其中所述温度控制器进一步设置成在从所述衬底处理室内清扫经由等离子体增强化学气相沉积(PECVD)而沉积在衬底上的膜的期间,控制所述冷却剂组件,以将所述冷却剂冷却至小于或等于所述预定温度。
15.根据权利要求14所述的处理***,其中所述膜为氮化硅(SiN)。
16.根据权利要求14所述的处理***,其还包含***控制器,所述***控制器被设置成在所述清扫期间,使三氟化氮(NF3)经由所述第一入口、所述内充气腔和所述孔流到所述衬底处理室内。
17.根据权利要求16所述的处理***,其中所述面板由铝构成。
18.一种用于衬底处理室的喷头,所述喷头包含:
内充气腔;
面板,其具有第一表面与第二表面,所述第二表面与所述第一表面相反;
穿过所述面板的孔,其从所述第一表面到所述第二表面;
第一入口,其与所述内充气腔流体地连接;
第一外充气腔,其设置在所述内充气腔的径向外侧;
第二外充气腔,其设置在所述内充气腔的径向外侧;
冷却剂通道,其:
使所述第一外充气腔与所述第二外充气腔流体地连接;
设置在所述面板内且在所述第一表面与所述第二表面之间;并且
不与穿过所述面板的所述孔相交;
第二入口,其与所述第一外充气腔流体地连接;以及
出口,其与所述第一外充气腔流体地连接。
19.一种处理***,其包含:
所述衬底处理室;
根据权利要求18所述的喷头,其中所述喷头被设置在所述衬底处理室内,且所述面板由铝构成;
冷却剂组件,其被设置成将冷却剂输入至所述第二入口并且接收来自所述出口的所述冷却剂;以及
温度控制器,其设置成在下列期间控制所述冷却剂组件,以将所述冷却剂冷却至小于或等于预定温度:
在所述衬底处理室内的衬底上的膜的等离子体增强化学气相沉积(PECVD)期间;以及
在从所述衬底处理室内清扫所述膜期间。
20.根据权利要求19所述的处理***,其还包含***控制器,所述***控制器被设置成:
针对所述衬底上的所述膜的所述PECVD,使硅烷和包含氮的气体经由所述第一入口、所述内充气腔和所述孔流到所述衬底处理室内;以及
在所述清扫期间,使三氟化氮(NF3)经由所述第一入口、所述内充气腔和所述孔流到所述衬底处理室内,
其中所述膜为氮化硅(SiN)。
CN201980039640.4A 2018-06-12 2019-06-06 包括具有经冷却的面板的喷头的衬底处理室 Pending CN112262464A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/006,355 2018-06-12
US16/006,355 US10900124B2 (en) 2018-06-12 2018-06-12 Substrate processing chamber with showerhead having cooled faceplate
PCT/US2019/035765 WO2019241018A1 (en) 2018-06-12 2019-06-06 Substrate processing chamber with showerhead having cooled faceplate

Publications (1)

Publication Number Publication Date
CN112262464A true CN112262464A (zh) 2021-01-22

Family

ID=68764660

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980039640.4A Pending CN112262464A (zh) 2018-06-12 2019-06-06 包括具有经冷却的面板的喷头的衬底处理室

Country Status (5)

Country Link
US (1) US10900124B2 (zh)
KR (1) KR20210008919A (zh)
CN (1) CN112262464A (zh)
TW (1) TWI837137B (zh)
WO (1) WO2019241018A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113053715B (zh) * 2019-12-27 2023-03-31 中微半导体设备(上海)股份有限公司 下电极组件、等离子体处理装置及其工作方法
KR20220141878A (ko) * 2020-02-20 2022-10-20 램 리써치 코포레이션 기판 프로세싱 페데스탈들을 위한 내부 핀들 (fins) 을 갖는 냉각제 채널
CN115943228A (zh) * 2020-06-10 2023-04-07 朗姆研究公司 分割式喷头冷却板
US20220068607A1 (en) * 2020-08-31 2022-03-03 Tokyo Electron Limited Gas Cluster Assisted Plasma Processing
JP7114763B1 (ja) * 2021-02-15 2022-08-08 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム、および基板処理方法
CN113363138A (zh) * 2021-06-01 2021-09-07 上海晶盟硅材料有限公司 外延生长方法和设备

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
US5935337A (en) * 1995-04-20 1999-08-10 Ebara Corporation Thin-film vapor deposition apparatus
CN1407135A (zh) * 2001-09-10 2003-04-02 安内华株式会社 表面处理装置
CN101985742A (zh) * 2009-07-28 2011-03-16 丽佳达普株式会社 用于化学气相沉积设备的气体喷射单元
CN102482775A (zh) * 2009-09-25 2012-05-30 京瓷株式会社 沉积膜形成装置及沉积膜形成方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100434487B1 (ko) * 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
KR100633889B1 (ko) 2004-07-19 2006-10-16 앰코 테크놀로지 코리아 주식회사 반도체패키지
KR100661744B1 (ko) * 2004-12-23 2006-12-27 주식회사 에이디피엔지니어링 플라즈마 처리장치
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
US20110030615A1 (en) 2009-08-04 2011-02-10 Applied Materials, Inc. Method and apparatus for dry cleaning a cooled showerhead
US8608852B2 (en) * 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
WO2012008440A1 (ja) * 2010-07-12 2012-01-19 株式会社アルバック 成膜装置
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
US20140235069A1 (en) * 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
JP6570390B2 (ja) 2015-09-24 2019-09-04 東京エレクトロン株式会社 温度調整装置及び基板処理装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
US5935337A (en) * 1995-04-20 1999-08-10 Ebara Corporation Thin-film vapor deposition apparatus
CN1407135A (zh) * 2001-09-10 2003-04-02 安内华株式会社 表面处理装置
CN101985742A (zh) * 2009-07-28 2011-03-16 丽佳达普株式会社 用于化学气相沉积设备的气体喷射单元
CN102482775A (zh) * 2009-09-25 2012-05-30 京瓷株式会社 沉积膜形成装置及沉积膜形成方法

Also Published As

Publication number Publication date
US10900124B2 (en) 2021-01-26
KR20210008919A (ko) 2021-01-25
TWI837137B (zh) 2024-04-01
US20190376183A1 (en) 2019-12-12
WO2019241018A1 (en) 2019-12-19
TW202018112A (zh) 2020-05-16

Similar Documents

Publication Publication Date Title
US11127567B2 (en) Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10900124B2 (en) Substrate processing chamber with showerhead having cooled faceplate
US9951423B2 (en) Systems and methods for measuring entrained vapor
JP7062383B2 (ja) アーク放電および点火を防ぎプロセスの均一性を向上させるための特徴を有する静電チャック
JP7420754B2 (ja) 金属酸化物膜を除去するための温度制御システムおよびその方法
US10323323B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US10351953B2 (en) Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
US10725485B2 (en) System and method for calculating substrate support temperature
US20220336191A1 (en) Low temperature plasma enhanced chemical vapor deposition process including preheated showerhead
US11255017B2 (en) Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
US20230087913A1 (en) Coolant channel with internal fins for substrate processing pedestals
US20230005776A1 (en) Purging spindle arms to prevent deposition and wafer sliding
WO2023076321A1 (en) Modulating thermal conductivity to control cooling of showerhead
WO2021071767A1 (en) Autoclean for load locks in substrate processing systems
TW202340523A (zh) 用於平衡通至基板處理系統之多個站之氣體流的閥系統
WO2018195535A1 (en) Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination