CN112262227B - 正形碳膜沉积 - Google Patents

正形碳膜沉积 Download PDF

Info

Publication number
CN112262227B
CN112262227B CN201980039203.2A CN201980039203A CN112262227B CN 112262227 B CN112262227 B CN 112262227B CN 201980039203 A CN201980039203 A CN 201980039203A CN 112262227 B CN112262227 B CN 112262227B
Authority
CN
China
Prior art keywords
substrate
amorphous carbon
hard mask
layer
carbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201980039203.2A
Other languages
English (en)
Other versions
CN112262227A (zh
Inventor
P·曼纳
A·玛里克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN112262227A publication Critical patent/CN112262227A/zh
Application granted granted Critical
Publication of CN112262227B publication Critical patent/CN112262227B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • H01L21/02642Mask materials other than SiO2 or SiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Abstract

本公开描述了用于在基板上沉积非晶碳层的方法。基板被暴露于具有式(I)的结构的碳前驱物。本公开还描述了蚀刻基板的方法,所述方法包括通过将基板暴露于具有式(I)的结构的碳前驱物来在基板上形成非晶碳硬掩模。
Figure DDA0002825988110000011

Description

正形碳膜沉积
技术领域
本公开的实施例涉及电子装置制造领域,并且具体而言,涉及集成电路(IC)制造。更具体地,本公开的实施例提供沉积正形(conformal)的碳膜的方法,所述方法可用于图案化应用。
背景技术
集成电路已发展成为可在单个芯片上包括数百万个晶体管、电容器和电阻器的复杂装置。芯片设计的发展持续地要求更快的电路***和更大的电路密度。对具有更大电路密度的更快电路的需求对用于制造此类集成电路的材料施加了相应要求。具体而言,随着集成电路组件的尺寸减小,必须使用低电阻率的导电材料以及低介电常数绝缘材料来从此类组件获得适当的电性能。
对更大集成电路密度的需求还对用于制造集成电路组件的工艺序列施加要求。例如,在使用常规光刻技术的工艺序列中,在设置在基板上的材料层的堆栈上形成一层能量敏感抗蚀剂。能量敏感抗蚀剂层被暴露于用于形成光刻胶掩模的图案影像。此后,使用蚀刻工艺将掩模图案传递至堆栈的材料层中的一者或多者。选择用于蚀刻工艺的化学蚀刻剂被选择为具有比对能量敏感抗蚀剂的掩模的蚀刻选择性更大的对堆栈材料层的蚀刻选择性。即,化学蚀刻剂以比能量敏感抗蚀剂快得多的速率蚀刻材料堆栈的一个或多个层。对抗蚀剂上的堆栈的一个或多个材料层的蚀刻选择性防止能量敏感抗蚀剂在完成图案转移之前被消耗。
随着图案尺寸减少,能量敏感抗蚀剂的厚度必须相应地减少以控制图案分辨率。归因于化学蚀刻剂的侵蚀,此类薄抗蚀剂层可能不足以在图案转移步骤期间对下部的材料层进行掩模。由于对化学蚀刻剂更大的抗性,通常在能量敏感抗蚀剂层与下部材料层之间使用称为硬掩模的中间层(例如,氮氧化硅、碳化硅,或碳膜)以促进图案转移。随着临界尺寸(CD)减小,相对于下部材料(例如,氧化物和氮化物)具有期望的蚀刻选择性以及高沉积速率的硬掩模材料是所需的。然而,多个图案化方案需要形成正形且可灰化的膜。
通常,ALD用于沉积正形膜,但ALD不是用于沉积碳膜的可行沉积技术。因此,需要一种沉积可正形且可灰化的碳膜的方法。
发明内容
本文描述了用于制造集成电路的装置和方法。在一个或多个实施例中,描述了一种在基板上形成非晶碳膜的方法。在一个实施例中,通过将基板暴露于具有式(I)的结构的碳前驱物来将非晶碳膜形成在基板上,
Figure BDA0002825988090000021
其中R1至R10中的每一者独立地选自于H、卤素,或经取代或未经取代的C1至C4烷基。
一个或多个实施例提供一种蚀刻基板的方法。非晶碳硬掩模被形成在基板上。非晶碳硬掩模具有至少一个开口且通过将基板暴露于具有式(I)的结构的碳前驱物来形成,
Figure BDA0002825988090000022
其中R1至R10中的每一者独立地选自于H、卤素,或经取代或未经取代的C1至C4烷基。通过开口蚀刻基板。
一个或多个实施例涉及一种方法。提供具有基板表面的基板。所述基板在约100℃至约650℃的范围的温度并且在约1托至约600托的范围的压力处暴露于碳前驱物,以在基板表面上形成碳硬掩模。碳前驱物具有式(I)的结构
Figure BDA0002825988090000031
其中R1至R10中的每一者独立地选自于H、卤素或经取代或未经取代的C1至C4烷基。通过碳硬掩模中的开口蚀刻基板的至少一部分。通过灰化从基板表面移除碳硬掩模。
附图说明
为了能够详细理解本公开的上述特征的方式,可通过参考实施例来对以上简要概述的本公开进行更具体描述,所述实施例中的一些在附图中示出。然而,应注意,附图仅示出了本公开的典型实施例并且因此不应视为限制其范围,因为本公开可允许其他同等有效的实施例。如本文所述的实施例通过示例的方式示出且不限于附图的各图中,在附图中相同的附图标记指示相同的元件。
图1A示出根据现有技术的基板的横截面图;
图1B示出根据现有技术的基板的横截面图;
图1C示出根据现有技术的基板的横截面图;
图1D示出根据现有技术的基板的横截面图;
图1E示出根据现有技术的基板的横截面图;
图2示出根据现有技术的基板的横截面图;
图3示出根据一个或多个实施例的基板的横截面图;
图4A示出根据一个或多个实施例的基板的横截面图;
图4B示出根据一个或多个实施例的基板的横截面图;以及
图4C示出根据一个或多个实施例的基板的横截面图。
具体实施方式
在描述本公开的若干示例性实施例之前,应理解,本公开不限于以下描述中阐述的构造或工艺步骤的细节。本公开能够具有其他实施例并且能够以各种方式实施或执行。
如本文中使用的“基板”指代任何基板或形成在基板上的材料表面,膜处理在制造工艺期间于所述基板或材料表面上执行。例如,取决于应用,可在其上执行处理的基板表面包括以下材料:诸如硅、氧化硅、应变硅、绝缘体上硅(silicon on insulator;SOI)、碳掺杂氧化硅、非晶硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石以及任何其他材料,诸如金属、金属氮化物、金属合金、以及其他导电材料。基板包括但不限于半导体晶片。基板可被暴露于预处理工艺以抛光、蚀刻、还原、氧化、羟化、退火和/或烘烤基板表面。除了直接在基板本身的表面上的膜处理之外,在本公开中,所公开的膜处理步骤中的任一者还可在如下文中更详细公开的基板上形成的下层上执行,并且术语“基板表面”旨在包括如上下文指示的此下层。因此,例如,在膜/层或部分膜/层已被沉积至基板表面上的情况下,新沉积的膜/层的暴露表面成为基板表面。
如本说明书和所附权利要求书中所使用,术语“前驱物”、“反应物”、“反应气体”和类似术语被可互换地使用以指代可与基板表面反应的任何气态物质。
如本文所使用,还被称为“非晶碳”且表示为a-C:H的短语“非晶氢化碳”指代不具有长程结晶顺序的碳材料,所述碳材料可含有大量的氢含量,例如约10到45原子%的氢含量。因为非晶碳的化学惰性、光学透明度和良好的机械性质,非晶碳被用作半导体应用中的硬掩模材料。归因于成本效率和膜性质多功能性,等离子体增强化学气相沉积(PECVD)广泛地用于沉积非晶碳膜。在PECVD工艺中,烃源(诸如气相烃或已经夹带于载气中的液相烃的蒸汽)被引入至PECVD腔室中。等离子体引发气体(通常为氦气)也被引入至腔室中。随后,在腔室中引发等离子体以产生激发CH-自由基。激发CH-自由基被化学结合至定位在腔室中的基板表面上,在所述表面上形成所期望的非晶碳膜。
使用非晶碳硬掩模层的装置制造商需要满足以下两个关键要求:(1)在下部材料的干式蚀刻期间的硬掩模的非常高的选择性和(2)在用于光刻配准(lithographicregistration)准确性的可见光谱中的高光学透明度。如本文中所使用,术语“干式蚀刻”通常指代其中材料不是通过浸入于化学溶剂中来溶解的蚀刻工艺,并且包括诸如反应性离子蚀刻、溅射蚀刻和气相蚀刻的方法。此外,对于硬掩模层被沉积在具有地形特征的基板上的应用,对于非晶碳硬掩模的额外要求在于硬掩模层正形地覆盖地形特征的所有表面。如本中所使用,术语“地形特征”指代沟槽、通孔和峰端(peak)等中的一者或多者。术语“正形”意味着膜/涂层适应于地形特征的轮廓。膜/层的正形性(conformality)通常由沉积于特征的侧壁上的层的平均厚度与基板的区域或上表面上的相同沉积层的平均厚度的比率来量化。
尽管广泛使用PECVD来沉积非晶碳膜,但是PECVD通常产生非正形的非晶碳膜,所述非晶碳膜具有污染基板表面的大量颗粒,并且要求基板被过度加热。
一个或多个实施例的方法有利地使用热化学气相沉积(CVD)工艺沉积非晶碳膜。所述方法有利地提供具有良好的蚀刻选择性、高光学透明度的非晶碳膜的沉积,所述非晶碳膜可被正形地沉积在具有一个或多个特征的基板上,并且可以在相对低的温度处生产。
硬掩模被用作半导体处理中的蚀刻终止层。可灰化硬掩模具有一种化学成分,当硬掩模一旦已用于其目的,所述化学成分就允许通过被称为灰化的技术来移除所述硬掩模。可灰化硬掩模通常由具有痕量的一种或多种掺杂剂(例如,氮、氟、硼、硅)的碳和氢组成。在典型应用中,在蚀刻之后,硬掩模已用于其目的且从下层移除。此举通常至少部分地通过还称为“等离子体灰化”或“干式剥离”的灰化来完成。通常部分地制造半导体晶片的具有待灰化的硬掩模的基板在真空下被置放到腔室中,并且氧气被引入并经受射频电源,这产生氧自由基(等离子体)。自由基与硬掩模反应以将硬掩模氧化为水、一氧化碳和二氧化碳。在一些情况下,例如当可灰化硬掩模留下无法仅由灰化移除的任何残余物时,硬掩模的完全移除可通过在灰化后跟随额外的湿式或干式工艺来完成。
硬掩模层通常用于窄和/或深的接触蚀刻应用,其中光刻胶可能并不足够厚以对下层进行掩模。这在临界尺寸收缩时尤为适用。
图1A至图1E示出根据现有技术的在结合非晶碳层作为硬掩模的集成电路制造序列的不同阶段处的基板100的示意横截面图。基板结构150表示基板100以及在基板100上形成的其他材料层。图1A(现有技术)示出基板结构150的横截面图,基板结构150具有已常规地形成在基板结构150上的材料层102。材料层102可以是低k材料和/或氧化物(例如,SiO2)。图1B(现有技术)示出沉积在图1A的基板结构150上的非晶碳层104。非晶碳层104通过常规手段(诸如PECVD)形成在基板结构150上。取决于处理的特定阶段,非晶碳层104的厚度是可变的。典型地,非晶碳层104具有在约
Figure BDA0002825988090000061
至约
Figure BDA0002825988090000062
的范围的厚度。取决于用于制造序列中的能量敏感抗蚀剂材料108的蚀刻化学性,可选覆盖层(未示出)可在形成能量敏感抗蚀剂材料108之前形成在非晶碳层104上。可选覆盖层在图案被转移至其中时用作用于非晶碳层104的掩模且保护非晶碳层104不受能量敏感抗蚀剂材料108的影响。如图1B中所描绘,能量敏感抗蚀剂材料108被形成在非晶碳层104上。能量敏感抗蚀剂材料108层可被旋涂在基板上达在约
Figure BDA0002825988090000063
至约
Figure BDA0002825988090000064
的范围内的厚度。大多数能量敏感抗蚀剂材料对于具有小于约450nm的波长、并且对于具有245nm或193nm的波长的一些应用的紫外线(UV)辐射敏感。通过将能量敏感抗蚀剂材料108通过图案化装置(诸如掩模110)暴露于UV辐射130,并且随后在适当显影剂中显影能量敏感抗蚀剂材料108来将图案引入到能量敏感抗蚀剂材料108层中。在已显影能量敏感抗蚀剂材料108之后,由孔/开口140组成的所需图案存在于能量敏感抗蚀剂材料108中,如图1C(现有技术)中所示。此后,参考图1D(现有技术),能量敏感抗蚀剂材料108中限定的图案系使用能量敏感抗蚀剂材料108作为掩模通过非晶碳层104转移。使用选择性地蚀刻能量敏感抗蚀剂材料108和材料层102上面的非晶碳层104的化学蚀刻剂,将孔140延伸至材料层102的表面。适当的化学蚀刻剂包括臭氧、氧气或氨等离子体。参考图1E(现有技术),随后使用非晶碳层104作为硬掩模通过材料层102转移图案。在此工艺步骤中,使用选择性地移除在非晶碳层104上的材料层102的蚀刻剂,诸如干式蚀刻,即非反应性等离子体蚀刻。在图案化材料层102之后,可以可选地从基板100剥离非晶碳层104。
如上所述,在一些应用中,硬掩模层可以将下层地形特征(例如沟槽、通孔或峰端)沉积在基板上。在这些应用中,也期望与下层地形高度正形的非晶碳层。图2(现有技术)示出具有特征204和形成在基板200上的非正形非晶碳层206的基板200的示意横截面图。因为非正形非晶碳层206不完全地覆盖特征204的侧壁208,所以随后的蚀刻工艺可能产生侧壁208的非期望腐蚀。缺少由非正形非晶碳层206对侧壁208的完全覆盖还可能导致在非正形非晶碳层206下面的材料的光刻胶中毒,这已知会损坏电子装置。层的正形性通常由沉积在特征的侧壁上的平均层厚度与基板的区域或上表面上的相同沉积层的平均厚度的比率来量化。
在一个或多个实施例中,描述了一种在基板上形成非晶碳膜的方法。在一个实施例中,通过将基板暴露于具有式(I)的结构的碳前驱物来将非晶碳膜形成在基板上,
Figure BDA0002825988090000071
其中R1至R10中的每一者独立地选自于H、卤素,或经取代或未经取代的C1至C4烷基。
一个或多个实施例的方法的优点为相较于其他非晶碳沉积工艺的正形性的增强,如图3中所示。图3示出具有形成在基板302上的至少一个特征304的基板302的横截面图300。在一个或多个实施例中,基板包括至少一个地形特征,例如,峰端、沟槽或通孔。如图3中所示,基板302包括可以是沟槽的至少一个特征304。非晶碳层306被形成在基板302上,正形到并填充至少一个特征304。非晶碳层306示出使用一个或多个实施例的方法沉积的碳膜的外观。
定性而言,非晶碳层306是高度正形的且完全覆盖至少一个特征304的侧壁308和底表面310。参考图3,定量而言,非晶碳层306可具有大于约95%的正形性,其中正形性被定义为沉积在侧壁308上的非晶碳层306的平均厚度S与在基板302的上表面312上的非晶碳层306的平均厚度T的比率。在一个或多个实施例中,非晶碳层306可具有大于约95%,包括大于约96%、大于约97%、大于约98%、和大于约99%的正形性。返回参考图2(现有技术),示出用不具有通式I的前驱物沉积的膜的一般外观的非正形非晶碳层206通常具有小于约75%的正形性。
在一个或多个实施例中,非晶碳膜是可灰化的。在一个或多个实施例中,非晶碳膜是正形的或可灰化中的一者或多者。在一个或多个实施例中,非晶碳膜同时为正形且可灰化的。
一个或多个实施例的方法的另一优点为较低温度的工艺可用于产生具有所需密度和透明度的非晶碳层。通常,在沉积期间的较高基板温度是用于促进更高密度薄膜的形成的工艺参数。当碳前驱物具有式(I)的结构时
Figure BDA0002825988090000081
其中R1至R10独立地选自所使用的H、卤素,或经取代或未经取代的C1至C4烷基,可在沉积期间降低基板温度(例如降低到低至约300℃),并且仍然产生所需密度(即,从约1.2g/cc至约1.8g/cc)的薄膜。因此,一个或多个实施例的方法可产生具有低至约0.09的吸收系数的相对高密度薄膜。此外,对于所有基板通常都需要较低的处理温度,因为这降低了工艺的热预算,保护在基板上形成的装置不发生掺杂剂迁移。
在实施例中,基板302包括半导体材料,例如,硅(Si)、碳(C)、锗(Ge)、硅锗(SiGe)、砷化镓(GaAs)、磷化铟(InP)、砷化铟镓(InGaAs)、砷化铝铟(InAlAs)、其他半导体材料,或其任何组合。在实施例中,基板302为绝缘体上半导体(semiconductor-on-isolator;SOI)基板,包括块状底部基板、中间绝缘层,和顶部单晶层。顶部单晶层可包括上文列出的任何材料(例如,硅)。在各种实施例中,基板302可以是例如,有机、陶瓷、玻璃或半导体基板。尽管在本文中描述了可以从其形成基板302的材料的一些示例,但是可用作基础(在所述基础上可建立无源和有源电子装置(例如,晶体管、存储器、电容器、电感器、电阻器、开关、集成电路、放大器、光电子装置,或任何其他电子装置))的任何材料均落在本公开的精神和范围之内。
在一个实施例中,基板302包括用于集成电路的一个或多个金属化互连层。在至少一些实施例中,基板302包括被配置成连接金属化层的互连(例如,通孔)。在至少一些实施例中,基板302包括通过电绝缘层分离的电子装置,例如,晶体管、内存、电容器、电阻器、光电子装置、开关、或任何其他有源和无源电子装置,所述电绝缘层例如,层间介电层、沟槽绝缘层、或电子装置制造领域中的一般技术人员已知的任何其他绝缘层。在一个实施例中,基板302包括用于限制晶格位错和缺陷的基板302上方的一个或多个层。
通过热化学气相沉积(CVD)在基板上形成非晶碳层306。非晶碳层306的厚度是可变的。在一个或多个实施例中,非晶碳层306可具有约5nm至约50nm的范围,包括约10nm至约30nm的范围的厚度。在一个或多个实施例中,非晶碳层306可具有约5nm至约50nm的范围,包括约5nm、约10nm、约15nm、约20nm、约25nm、约30nm、约35nm、约40nm、约45nm,以及约50nm的厚度。
为了形成非晶碳层306,基板302被暴露于具有式(I)的结构的碳前驱物
Figure BDA0002825988090000101
其中R1至R10中的每一者独立地选自H、卤素,或经取代或未经取代的C1至C4烷基。
如本文中使用,“卤素”指代元素周期表中的一组元素中的一者或多者,更具体地,指代氟(F)、氯(Cl)、溴(Br)、碘(I),和砹(At)。
如本文中所使用,“烷基(alkyl)”或“烷基(alk)”包括直链或支链烃,包含正链中的1至20个碳,诸如甲基、乙基、丙基、异丙基、丁基、第三丁基、异丁基、戊基、己基、异己基、庚基、4,4-二甲基戊基、辛基、2,2,4-三甲基-戊基、壬基、癸基、十一烷基、十二烷基、它们的各种支链异构体等。此类基团可以可选地包括至多1至4个取代基。在一个或多个实施例中,R1至R10中的每一者独立地来自H、卤素,或经取代或未经取代的C1至C4烷基。
在一个或多个实施例中,式(I)化合物包含樟脑、L-葑酮、3-氯-2-降冰片酮、降冰片酮、1,3,7,7-四甲基-2-降冰片酮、降樟脑、(1R)-(-)-葑酮、(+)-葑酮、或(-)-葑酮中的一者或多者。
在一个实施例中,碳前驱物包含具有化学结构
Figure BDA0002825988090000102
的樟脑。在一个实施例中,碳前驱物包含L-葑酮,具有化学结构
Figure BDA0002825988090000103
在一个实施例中,碳前驱物包含3-氯-2-降冰片酮,具有化学结构
Figure BDA0002825988090000111
在一个或多个实施例中,碳前驱物被引入到处理腔室,并且被允许热裂解。如本文中所使用,短语“热裂解”描述在热影响下的分子的***。在一个或多个实施例中,基板被保持在约100℃至约650℃的温度范围处。在一个或多个实施例中,基板被保持在小于600℃的温度。在一个或多个实施例中,基板被保持在约300℃至约600℃的温度范围处。在一个或多个实施例中,基板被保持在小于约300℃的温度处。
在一个或多个实施例中,非晶碳膜的形成发生在约1托至约600托的范围的压力处。
在一个或多个实施例中,使用热分解工艺形成非晶碳膜。在一个或多个实施例中,使用热化学气相沉积形成非晶碳膜。在一个或多个实施例中,在不使用(不存在)等离子体的情况下沉积非晶碳膜。
在一个或多个实施例中,将碳前驱物在安瓿中加热并用载气使碳前驱物流至基板,所述碳前驱物具有式(I)的结构
Figure BDA0002825988090000112
其中R1至R10中的每一者独立地选自H、卤素,或经取代或未经取代的C1至C4烷基。如本文中所使用,术语“载气”指代可将前驱物分子从一个位置移动至另一个位置的流体(气体或液体)。例如,载气可以是将分子从安瓿中的固态前驱物移动至烟雾器的液体。在一些实施例中,载气可以是惰性气体。在一个或多个实施例中,载气为氢气(H2)、氩气(Ar)、氦气(He)、氙气(Xe),或氮气(N2)中的一者或多者。
在一个或多个实施例中,非晶碳膜是硬掩模层。
在一个或多个实施例中,基板具有待图案化的层。
在一个或多个实施例中,基板包含粘附层或介电层中的一者或多者。
一个或多个实施例提供蚀刻基板的方法。如本文中所使用,术语“蚀刻”指代在半导体制造期间从基板(例如,晶片)的表面化学移除层的工艺。蚀刻是在半导体制造期间极为重要的工艺,并且每个基板在基板完成之前经历许多蚀刻步骤。在一个或多个实施例中,通过抗蚀刻的掩模材料来保护基板不受蚀刻剂的影响。在一个或多个实施例中,硬掩模材料为光刻胶,所述光刻胶已经使用光刻图案化。
一个或多个实施例提供蚀刻基板的方法。参考图4A,在一个或多个实施例中,非晶碳硬掩模404被形成在基板400上。在一个或多个实施例中,非晶碳硬掩模具有至少一个开口440并且通过将基板暴露于具有式(I)的结构的碳前驱物来形成,
Figure BDA0002825988090000121
其中R1至R10中的每一者独立地选自于H、卤素,或经取代或未经取代的C1至C4烷基。在一个或多个实施例中,通过至少一个开口440蚀刻基板400。
在一个或多个实施例中,在小于约600℃的温度并且在约1托至约600托的范围的压力处形成非晶碳硬掩模。在一个或多个实施例中,已经使用光刻或本领域技术人员已知的其他方法来图案化非晶碳硬掩模404。
在一个或多个实施例中,光刻胶在蚀刻之前形成在基板上。在一个或多个实施例中,光刻胶402被形成在基板400与非晶碳硬掩模404之间。参考图4B,在一个或多个实施例中,光刻胶406被形成在非晶碳硬掩模404上。
参考图4C,在一个或多个实施例中,在蚀刻基板400之后,移除非晶碳硬掩模404。在一个或多个实施例中,通过灰化移除非晶碳硬掩模404。
一个或多个实施例涉及方法。在一个或多个实施例中,提供具有基板表面的基板。在一个或多个实施例中,所述基板被暴露于在约100℃至约650℃的范围的温度并且在约1托至约600托的范围的压力处的碳前驱物,以在基板表面上形成碳硬掩模。碳前驱物具有以下式(I)的结构
Figure BDA0002825988090000131
其中R1至R10中的每一者独立地选自于H、卤素或经取代或未经取代的C1至C4烷基。在一个或多个实施例中,通过碳硬掩模中的开口蚀刻基板的至少一部分。在一个或多个实施例中,通过灰化从基板表面移除碳硬掩模。
在上述说明书中,本公开的实施例已参照其具体示例性实施例来描述。显而易见的是,可以对其进行各种修改,而不背离如在所附权利要求书中阐述的本公开的实施例的更广泛精神和范围。因此,说明书和附图被视为具有说明性意义而非限制性意义。

Claims (20)

1.一种方法,包括通过在不存在等离子体的情况下并且在从100℃至小于600℃的范围中的温度下将基板暴露于碳前驱物而在所述基板上形成非晶碳膜,所述碳前驱物具有式(I)的结构:
Figure FDA0003793105170000011
其中R1至R10中的每一者独立地选自于H、卤素,或经取代或未经取代的C1至C4烷基。
2.如权利要求1所述的方法,其中所述碳前驱物包含樟脑、L-葑酮、3-氯-2-降冰片酮、降冰片酮、1,3,7,7-四甲基-2-降冰片酮、降樟脑、(1R)-(-)-葑酮、(+)-葑酮、或(-)-葑酮中的一者或多者。
3.如权利要求1所述的方法,其中所述非晶碳膜具有从10到45原子%的范围中的氢含量。
4.如权利要求1所述的方法,其中所述温度在从300℃至600℃的范围中。
5.如权利要求1所述的方法,其中将所述碳前驱物在安瓿中加热且用载气流动至基板。
6.如权利要求5所述的方法,其中所述载气包含氢气(H2)、氩气(Ar)、氦气(He)、氙气(Xe),或氮气(N2)中的一者或多者。
7.如权利要求1所述的方法,其中通过热分解工艺形成所述非晶碳膜。
8.如权利要求1所述的方法,其中所述非晶碳膜是正形的或可灰化中的一者或多者。
9.如权利要求1所述的方法,其中所述非晶碳膜是硬掩模层。
10.如权利要求9所述的方法,其中所述基板包含待图案化的层。
11.如权利要求1所述的方法,其中所述基板包含粘附层或介电层中的一者或多者。
12.如权利要求1所述的方法,其中所述基板包括选自峰端、沟槽或通孔的至少一个特征。
13.如权利要求12所述的方法,其中所述非晶碳膜是正形的。
14.一种蚀刻基板的方法,包含:
在所述基板上形成非晶碳硬掩模,所述非晶碳硬掩模是在不存在等离子体的情况下并且在从100℃至小于600℃的温度范围中沉积的,所述非晶碳硬掩模具有至少一个开口且通过将所述基板暴露于碳前驱物来形成,所述碳前驱物具有式(I)的结构:
Figure FDA0003793105170000021
其中R1至R10中的每一者独立地选自于H、卤素,或经取代或未经取代的C1至C4烷基;以及
通过所述至少一个开口蚀刻所述基板。
15.如权利要求14所述的方法,其中所述碳前驱物包含樟脑、L-葑酮、3-氯-2-降冰片酮、降冰片酮、1,3,7,7-四甲基-2-降冰片酮、降樟脑、(1R)-(-)-葑酮、(+)-葑酮、或(-)-葑酮中的一者或多者。
16.如权利要求14所述的方法,进一步包括:在蚀刻之前形成光刻胶,所述光刻胶形成在所述基板与所述非晶碳硬掩模之间或形成在所述非晶碳硬掩模上。
17.如权利要求14所述的方法,进一步包括:在蚀刻所述基板之后移除所述非晶碳硬掩模。
18.如权利要求17所述的方法,其中通过灰化移除所述非晶碳硬掩模。
19.如权利要求14所述的方法,其中在1托至600托的范围的压力下形成所述非晶碳硬掩模。
20.一种方法,包括:
提供具有基板表面的基板;
将所述基板在从100℃至600℃的范围的温度并且在从1托至600托的范围的压力下暴露于碳前驱物,以在所述基板表面上形成碳硬掩模,所述碳硬掩模是在不存在等离子体的情况下沉积的,所述碳前驱物具有式(I)的结构:
Figure FDA0003793105170000041
其中R1至R10中的每一者独立地选自于H、卤素,或经取代或未经取代的C1至C4烷基;
通过所述碳硬掩模中的开口蚀刻所述基板的至少一部分;以及
通过灰化从所述基板表面移除所述碳硬掩模。
CN201980039203.2A 2018-06-15 2019-06-06 正形碳膜沉积 Active CN112262227B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862685413P 2018-06-15 2018-06-15
US62/685,413 2018-06-15
PCT/US2019/035695 WO2019241012A1 (en) 2018-06-15 2019-06-06 Conformal carbon film deposition

Publications (2)

Publication Number Publication Date
CN112262227A CN112262227A (zh) 2021-01-22
CN112262227B true CN112262227B (zh) 2022-09-23

Family

ID=68839369

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980039203.2A Active CN112262227B (zh) 2018-06-15 2019-06-06 正形碳膜沉积

Country Status (7)

Country Link
US (1) US11043379B2 (zh)
JP (1) JP7464539B2 (zh)
KR (1) KR102571633B1 (zh)
CN (1) CN112262227B (zh)
SG (1) SG11202011810RA (zh)
TW (1) TWI764002B (zh)
WO (1) WO2019241012A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210082692A1 (en) * 2019-09-17 2021-03-18 Asm Ip Holding B.V. Method of forming a carbon-containing layer and structure including the layer
US11437230B2 (en) * 2020-04-06 2022-09-06 Applied Materials, Inc. Amorphous carbon multilayer coating with directional protection
KR102619935B1 (ko) * 2022-02-18 2024-01-02 부산대학교 산학협력단 Sb-SnO2에 루테늄 산화물이 존재하는 헤테로 구조 촉매 및 그 제조방법
US20230360924A1 (en) * 2022-05-05 2023-11-09 Applied Materials, Inc. Low temperature carbon gapfill
WO2023249899A1 (en) * 2022-06-23 2023-12-28 Lam Research Corporation High aspect ratio etch with a metal or metalloid containing mask

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101174099A (zh) * 2006-07-18 2008-05-07 应用材料公司 用于高数值孔径和浸润式光刻的分级式抗反射涂层
CN101243544A (zh) * 2005-08-08 2008-08-13 应用材料股份有限公司 使用低温沉积含碳硬掩膜的半导体基材制程
CN101407909A (zh) * 2007-10-08 2009-04-15 应用材料股份有限公司 高温沉积非晶碳层的方法
CN103189962A (zh) * 2010-10-06 2013-07-03 应用材料公司 光阻材料及硬掩模前驱物的原子层沉积

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7867578B2 (en) 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
JP2009231810A (ja) 2008-02-26 2009-10-08 Denso Corp 半導体カーボン膜、半導体素子、及び半導体カーボン膜の製造方法
US8361906B2 (en) * 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US20120258261A1 (en) * 2011-04-11 2012-10-11 Novellus Systems, Inc. Increasing etch selectivity of carbon films with lower absorption co-efficient and stress
WO2014149281A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Layer-by-layer deposition of carbon-doped oxide films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101243544A (zh) * 2005-08-08 2008-08-13 应用材料股份有限公司 使用低温沉积含碳硬掩膜的半导体基材制程
CN101174099A (zh) * 2006-07-18 2008-05-07 应用材料公司 用于高数值孔径和浸润式光刻的分级式抗反射涂层
CN101407909A (zh) * 2007-10-08 2009-04-15 应用材料股份有限公司 高温沉积非晶碳层的方法
CN103189962A (zh) * 2010-10-06 2013-07-03 应用材料公司 光阻材料及硬掩模前驱物的原子层沉积

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Debabrata Pradhan et al.Opto-electrical properties of amorphous carbon thin film deposited from natural precursor camphor.《Applied Surface Science》.2017,第253卷(第17期),第7004-7010页. *
Opto-electrical properties of amorphous carbon thin film deposited from natural precursor camphor;Debabrata Pradhan et al;《Applied Surface Science》;20170215;第253卷(第17期);前言以及实验部分 *

Also Published As

Publication number Publication date
KR20210008171A (ko) 2021-01-20
JP7464539B2 (ja) 2024-04-09
US20190385845A1 (en) 2019-12-19
TWI764002B (zh) 2022-05-11
WO2019241012A1 (en) 2019-12-19
TW202006175A (zh) 2020-02-01
JP2021527172A (ja) 2021-10-11
KR102571633B1 (ko) 2023-08-25
SG11202011810RA (en) 2020-12-30
CN112262227A (zh) 2021-01-22
US11043379B2 (en) 2021-06-22

Similar Documents

Publication Publication Date Title
US11332376B2 (en) Diamond-like carbon film
CN112262227B (zh) 正形碳膜沉积
CN109643639B (zh) 用于间隔件和硬掩模应用的硼烷介导的从硅烷和烷基硅烷物质脱氢的工艺
US6844255B2 (en) Methods of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry
US6605863B2 (en) Low k film application for interlevel dielectric and method of cleaning etched features
US7268071B2 (en) Dual damascene interconnections having low K layer with reduced damage arising from photoresist stripping
KR100870616B1 (ko) 트랜치 절연 영역 형성 방법
US9768031B2 (en) Semiconductor device manufacturing methods
TWI645506B (zh) 形成具有氣隙之半導體元件的方法
TWI600117B (zh) 用於互連的結構和方法
US7022582B2 (en) Microelectronic process and structure
TWI784183B (zh) 用於貫孔輪廓控制及相關應用的原子層沉積(ald)襯墊
KR20040101008A (ko) 반도체 장치의 제조 방법
US10354885B2 (en) Hard masks for block patterning
KR20080085287A (ko) 패턴 형성을 위한 반도체 구조 및 이를 이용한 패턴 형성방법
KR20090044855A (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant