CN111190190A - 双飞秒激光测距***数据处理平台硬件实现 - Google Patents

双飞秒激光测距***数据处理平台硬件实现 Download PDF

Info

Publication number
CN111190190A
CN111190190A CN201911231885.5A CN201911231885A CN111190190A CN 111190190 A CN111190190 A CN 111190190A CN 201911231885 A CN201911231885 A CN 201911231885A CN 111190190 A CN111190190 A CN 111190190A
Authority
CN
China
Prior art keywords
module
bfgs
calculation
pulse
value
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201911231885.5A
Other languages
English (en)
Inventor
刘强
姜宇
曹辉
宋有建
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tianjin University
Original Assignee
Tianjin University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tianjin University filed Critical Tianjin University
Priority to CN201911231885.5A priority Critical patent/CN111190190A/zh
Publication of CN111190190A publication Critical patent/CN111190190A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01SRADIO DIRECTION-FINDING; RADIO NAVIGATION; DETERMINING DISTANCE OR VELOCITY BY USE OF RADIO WAVES; LOCATING OR PRESENCE-DETECTING BY USE OF THE REFLECTION OR RERADIATION OF RADIO WAVES; ANALOGOUS ARRANGEMENTS USING OTHER WAVES
    • G01S7/00Details of systems according to groups G01S13/00, G01S15/00, G01S17/00
    • G01S7/48Details of systems according to groups G01S13/00, G01S15/00, G01S17/00 of systems according to group G01S17/00
    • G01S7/483Details of pulse systems
    • G01S7/486Receivers
    • G01S7/4865Time delay measurement, e.g. time-of-flight measurement, time of arrival measurement or determining the exact position of a peak

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Radar, Positioning & Navigation (AREA)
  • Remote Sensing (AREA)
  • Complex Calculations (AREA)

Abstract

本发明公开一种双飞秒激光测距***数据处理平台,包括控制模块以及与所述控制模块连接的锁相环模块、动态阈值滤波模块、峰值检测模块、距离计算模块、通信接口模块,所述距离计算模块连接重复频率测量模块;用于实时处理采样信号,检测每个脉冲的峰值时刻,根据双飞秒激光测距原理计算距离,最后发送距离值,所述的峰值检测模块采用基于BFGS的峰值检测模块,采用BFGS‑QN求解器在超短时间间隔内完成脉冲重构,采用迭代方法计算拟合参数,得到对应于脉冲峰值的中心时刻。所有模块在FPGA平台上全硬件实现,硬件设计的最高工作频率为250MHz。

Description

双飞秒激光测距***数据处理平台硬件实现
技术领域
本发明涉及信号处理及激光测距领域,具体涉及双飞秒激光测距***数据处理平台 硬件实现。
背景技术
双飞秒激光测距具有测量精度高、更新速度快、测距范围大等优点,是一种实现绝对距离测量的技术。其主要应用于依赖高精度、高实时性距离测量的研究领域,例如卫 星编队飞行、天基合成孔径成像、激光雷达等。
双飞秒激光测距***由三个主要部分组成,包括两个独立、自由运转的被动锁模飞 秒激光器,光学互相关子***,以及数据处理平台。
此外,在星载平台上使用的激光测距***有成本、尺寸和能量限制。现场可编程门阵列(FPGA)因其集成度高,体积小,并行度高,功耗低和可重配置等特点,成为数据 处理平台硬件实现的较优选择。
发明内容
本发明针对双飞秒激光测距***数据处理平台,提出了数据处理的FPGA硬件解决方案,功能是:实时处理采样信号,检测每个脉冲的峰值时刻,根据双飞秒激光测距原 理计算距离,最后发送距离值。所有模块在FPGA平台上全硬件实现,硬件设计的最高 工作频率为250MHz。
本发明具体技术方案为:
第一步:生成稳定的时钟频率
锁相环(PLL)模块调用Xilinx公司的IP核,用于为其他模块生成稳定的时钟频率。
第二步:划分噪声区域和脉冲区域
动态阈值滤波(DTF)模块,以采样信号数据的平均值上浮1.75倍作为阈值,将采样信号数据划分为噪声区域和脉冲区域,每16384个数据点更新一次。噪声区域中的数 据不用于峰值检测,脉冲区域中的数据存储在存储器中用于后续峰值检测。
第三步:离散采样点拟合,得到脉冲峰值时刻
基于BFGS的峰值检测(PD-BFGS)模块,读取存储器中的数据,输出每个脉冲的 峰值时刻。本发明使用BFGS-QN求解器在超短时间间隔内完成脉冲重构,采用迭代方 法计算拟合参数,得到对应于脉冲峰值的中心时刻t。
本发明提出的BFGS-QN求解器采用迭代方法计算拟合参数,k表示迭代次数,根据BFGS拟牛顿算法的计算步骤,可以将算法的硬件架构分为计算控制模块、目标函数评估 模块、线性搜索模块、梯度计算模块、矩阵更新模块。具体各模块的互联关系和相关内 部变量的传输关系如附图2所示。在BFGS拟牛顿算法的硬件架构中,计算控制模块通 过设计有限状态机来控制所有其他模块的执行顺序,调用片上BRAM来缓存模块间内部 变量的计算结果并且将数据传输给相应的模块。目标函数评估模块计算超短激光脉冲包 络重构过程中高斯拟合的误差函数;线性搜索模块通过当前拟合参数向量、搜索方向和 梯度确定在搜索方向上的步长;梯度计算模块计算当前目标函数的梯度,判断是否满足 跳出条件并完成参数向量的更新;矩阵更新模块由BFGS校正公式更新海森矩阵的逆矩 阵,结合目标函数梯度确定新的搜索方向。
工作流程:(1)采样数据点(xi,yi)和初始参数p0输入计算控制模块并分配给其他四个 模块;(2)采样数据点(xi,yi)和拟合参数值pk输入目标函数评估模块计算当前误差函数 值EF;(3)误差函数值EF和当前迭代轮次的拟合参数向量pk、下降方向dk和梯度gk输 入线性搜索模块确定搜索方向上的步长λk;(4)步长λk、误差函数值EF和当前迭代轮次 的拟合参数向量pk、下降方向dk共同输入梯度计算模块,得到下一迭代轮次的拟合参数 向量pk+1、梯度gk+1并输出给计算控制模块缓存;(5)下一迭代轮次的梯度gk+1和向量 sk=pk+1-pk输入矩阵更新模块,输出下一迭代轮次的下降方向dk+1;(6)计算控制模块 判断是否满足跳出条件,若满足输出峰值时刻拟合结果,若不满足重复工作流程第(2)步。
整体数据通路中各模块电路采用IP复用技术设计,通过Xilinx Vivado软件中IPCatalog调用Float-Point、Block Memory Generator和FIFO Generator。
Float-Point是浮点IP核,主要使用浮点加法器、浮点减法器、浮点乘法器、浮点除法器以及浮点平方根运算,完成各功能模块中的数学运算;Block Memory Generator是片上存储器IP核,FIFO Generator是先进先出存储器队列IP核。本发明选用双端口RAM 和FIFO作为缓冲器,存储模块与模块间以及模块内部变量的运算结果。各模块电路内部 采用有限状态机控制,通过状态的转换来控制每个步骤的数据的读入、读出和存储。以 下将详细介绍在FPGA平台上实现各功能模块的硬件架构。具体硬件实现方案如下:
1)误差函数评估(EF)模块。误差函数EF的硬件结构如附图3所示,分为两部分 实现:第一部分根据拟合参数向量pk获得高斯函数值,第二部分计算拟合误差EF(pk)。
硬件架构上半部分是高斯函数值计算单元的硬件设计。其中,(xi-μ)2和-2σ2是并行计算的。为加速高斯函数拟合的计算过程,尽可能地并行计算单个脉冲包络的所有采 样数据点的拟合值。该硬件架构使用单个脉冲上8个采样数据点进行高斯拟合,可以同 时计算8组高斯函数值,计算结果存在RAM中。
硬件架构下半部分是误差函数计算单元的硬件设计。高斯函数值计算单元完成计算 后,误差函数计算单元开始计算。使用多路选择器依次选择拟合值和对应采样数据点的幅值流入减法器相减,得到二者的差值。
其中,深度流水结构的向量与向量乘法(VVM)单元被用于平方和运算,VVM单元 硬件结构如图3所示。最后结果经过平方根运算,得到误差函数值。该模块由33个乘法 器,9个加法器,9个减法器,8个指数运算(exp),8个除法器和一个平方根运算(sqrt) 构成,互连关系如附图3所示。
2)步长λk计算模块。采用线性搜索计算(LS)方法,附图4为LS方法硬件实现, 包括两部分:比较判断部分和步长更新部分。比较判断部分执行比较运算,并且判断步 骤跳转。并行计算EF(pkkdk)和EF(pk)+ρλkgk Tdk,并通过比较器进行比较。若比较 结果输出为1,则多路选择器选择(1-ρ)参与计算,计算EF(pk)+(1-ρ)λkgk Tdk,否则跳 转至步长更新部分。EF(pk)+(1-ρ)λkgk Tdk与EF(pk)+ρλkgk Tdk复用同一组硬件资源进行 计算,通过多路选择器根据sel0选择ρ和(1-ρ)参与运算。步长更新单元中的不同更新公 式复用相同的加法器和乘法器,以充分利用硬件资源。所有数学运算都尽可能采用流水 线结构设计,以提高电路整体的工作频率,实现更高的运算性能。
3)梯度gk计算(GC)模块。附图5是梯度计算的流水线硬件结构,采用并行结构
设计是运算速度和硬件资源之间的折衷。pk是输入参数向量,通过多路选择器控制 向量pk的每个元素pkr分别加或减
Figure BDA0002303786850000031
得到4组新的向量,并将计算结果存储在各自的RAM中。调用两组目标函数评估模块并行计算,两组计算结果序列依次对应传 输到减法器两端,最后流水输入到乘法器与
Figure BDA0002303786850000041
相乘得到目标函数的梯度。并行结 构的设计是运算速度和硬件资源之间的折衷。
4)Bk矩阵更新(BU)模块。该模块通过迭代生成海森逆矩阵的近似矩阵。Bk矩 阵通过以下公式更新:
Figure BDA0002303786850000042
sk=pk+1-pk
zk=gk+1-gk
Figure BDA0002303786850000043
附图6是BU模块的硬件架构,向量与向量乘法单元在此模块中大量使用,例如zk Tsk。中间结果被缓存在片上RAM单元中,数据用于在随后的计算步骤中重复使用。 使用FIFO作为缓冲,等待更新等式中的其他子项的结果。BU模块逐行更新Bk+1矩阵。 在最后的加法器两端,同时进行两个子项的数学计算,使用FIFO来缓冲vkvk T子项的计 算结果并等待更新公式中的其他子项的计算结果。各子项计算完成后,各元素依次进入 加法器两端,逐行更新Bk+1矩阵。此外,该模块附带完成搜索方向的更新,通过矩阵向 量乘单元将更新后的矩阵与梯度向量相乘后取相反数,得到新的搜索方向dk+1=-Bk+1gk+1并缓存在片上BRAM用于下一轮迭代。
第四步:选择PD-BFGS模块优化方案,实时处理相互交替的参考脉冲和目标脉冲。
由于待测距离范围不同,参考脉冲和目标脉冲间特定时间间隔(tinterval)不固定。为 保证BFGS-QN求解器在tinterval内,即在目标脉冲(参考脉冲)到达之前完成参考脉冲(目标脉冲)的峰值检测,本发明提出了PD-BFGS模块的两种优化方案,得到tref1,ttar和tref2, 分别表示参考脉冲,目标脉冲和下一周期参考脉冲的时刻。两种方案的硬件结构图如附 图7所示。
当tinterval小于流水线间隔时,应使用方案一;当tinterval大于流水线间隔时,应使用方 案二。
方案一:使用两个BFGS-QN求解器并行处理参考信号脉冲和目标信号脉冲。第一个BFGS-QN求解器处理参考信号脉冲,第二个BFGS-QN求解器处理目标信号脉冲。该 方案可以忽略两对脉冲之间的时间间隔的限制,理论上不会影响***的测量范围。
方案二:使用一个BFGS-QN求解器流水处理参考信号脉冲和目标信号脉冲。LS块的执行时钟周期数大致等于GC和BU块的总和,因此BFGS-QN求解器可以在两级流水 线中执行。使用少量的硬件资源实现数据缓存与流水线控制逻辑模块,用于存储中间数 据并控制流水线。当tinterval大于流水线间隔(LS块的执行时间)时,第二级(GC+BU) 处理参考脉冲(目标脉冲),第一级(LS)同时处理目标脉冲(参考)脉冲。
第五步:更新当前激光重复频率fr
重复频率测量(RFM)模块通过计数器计算每秒激光脉冲的个数,每秒即时更新当前激光重复频率fr,这是距离计算中的重要参数。
第六步:计算待测距离
距离计算(DC)模块,根据第四步和第五步得到的tref1,ttar、tref2和fr,由下列公 式计算待测距离L:
Figure BDA0002303786850000051
其中c表示真空中的光速,ng表示空气的折射率,fr表示信号激光的重复频率。
第七步:将结果发送给上位机
结果通过通用异步接收器/发送器(UART)RS232通信接口模块发送到上位机。
有益效果
(1)误差评估
首先评估基于所提出的PD-BFGS模块的双飞秒激光测距***的性能,使用Caruana方法的峰值检测模块(PD-Caruana)和使用直接法的峰值检测模块(PD-direct)被用于 对比实验。在实验中使用300ms内的所有采样点。三个峰值检测模块的测距结果如附图 8所示:PD-direct测距结果的平均值为63.293876m,误差为129.63um;PD-Caruana测 距结果的平均值为63.294031m,误差为24.98um;PD-BFGS测距结果的平均值为 63.293997m,误差为9.08um。与PD-direct相比,PD-BFGS将测距结果的误差降低了92.99%,与PD-Caruana相比降低了63.63%。
(2)执行时间
如表1所示,设计的PD-BFGS模块工作在250MHz,平均在173.40us内完成一次 峰值检测。当tinterval大于5.84us时,采用方案2用于节省硬件资源;当tinterval小于5.84us 时,采用方案1确保同时对参考脉冲和目标脉冲进行峰值检测。峰值检测实时完成,测 距结果更新速率为2KHz,实现了更小的精度损失的同时满足测距***实时性要求。
Figure BDA0002303786850000061
(3)硬件资源利用
PD-BFGS硬件实现是根据所提出的测距***优化方案定制的。表2列出了硬件资源利用率结果,包括查找表(LUT),触发器(FF),数字信号处理硬核(DSP)和BRAM 块,可见方案2比方案1节省了近一半的硬件资源。
Figure BDA0002303786850000062
附图说明
图1是双飞秒激光测距***数据处理平台硬件结构图;
图2是BFGS-QN求解器硬件结构图;
图3是误差函数评估模块(EF)硬件结构图;
图4是步长λk计算模块(LS)的比较判断模块的硬件结构图;
图5是步长λk计算模块(LS)的步长更新部的硬件结构图;
图6是梯度gk计算模块(GC)硬件结构图;
图7是Bk矩阵更新模块(BU)硬件结构图;
图8是一种PD-BFGS模块优化方案;
图9是另一种PD-BFGS模块优化方案;
图10是三个峰值检测模块的测距结果。
具体实施方式
本发明针对双飞秒激光测距***数据处理平台,提出了数据处理的硬件解决方案, 整个***的硬件结构图,如附图1所示,具体技术方案为:
第一步:生成稳定的时钟频率
锁相环(PLL)模块调用Xilinx公司的IP核,用于为其他模块生成稳定的时钟频率。
第二步:划分噪声区域和脉冲区域
动态阈值滤波(DTF)模块,以采样信号数据的平均值上浮1.75倍作为阈值,将采样信号数据划分为噪声区域和脉冲区域,每16384个数据点更新一次。噪声区域中的数 据不用于峰值检测,脉冲区域中的数据存储在存储器中用于后续峰值检测。
第三步:离散采样点拟合,得到脉冲峰值时刻
基于BFGS的峰值检测(PD-BFGS)模块,读取存储器中的数据,输出每个脉冲的 峰值时刻。本发明使用BFGS-QN求解器在超短时间间隔内完成脉冲重构,采用迭代方 法计算拟合参数,得到对应于脉冲峰值的中心时刻t。
本发明提出的BFGS-QN求解器采用迭代方法计算拟合参数,k表示迭代次数,根据BFGS拟牛顿算法的计算步骤,可以将算法的硬件架构分为计算控制模块、目标函数评估 模块、线性搜索模块、梯度计算模块、矩阵更新模块。
具体各模块的互联关系和相关内部变量的传输关系如附图2所示。在BFGS拟牛顿算法的硬件架构中,计算控制模块通过设计有限状态机来控制所有其他模块的执行顺序,调用片上BRAM来缓存模块间内部变量的计算结果并且将数据传输给相应的模块。目标 函数评估模块计算超短激光脉冲包络重构过程中高斯拟合的误差函数;线性搜索模块通 过当前拟合参数向量、搜索方向和梯度确定在搜索方向上的步长;梯度计算模块计算当 前目标函数的梯度,判断是否满足跳出条件并完成参数向量的更新;矩阵更新模块由 BFGS校正公式更新海森矩阵的逆矩阵,结合目标函数梯度确定新的搜索方向。
工作流程如下:
(1)采样数据点(xi,yi)和初始参数p0输入计算控制模块并分配给其他四个模块;(2) 采样数据点(xi,yi)和拟合参数值pk输入目标函数评估模块计算当前误差函数值EF;(3) 误差函数值EF和当前迭代轮次的拟合参数向量pk、下降方向dk和梯度gk输入线性搜索模块确定搜索方向上的步长λk;(4)步长λk、误差函数值EF和当前迭代轮次的拟合参数 向量pk、下降方向dk共同输入梯度计算模块,得到下一迭代轮次的拟合参数向量pk+1、 梯度gk+1并输出给计算控制模块缓存;(5)下一迭代轮次的梯度gk+1和向量sk=pk+1-pk输入矩阵更新模块,输出下一迭代轮次的下降方向dk+1;(6)计算控制模块判断是否满足 跳出条件,若满足输出峰值时刻拟合结果,若不满足重复工作流程第(2)步。
本发明中,整体数据通路中各模块电路采用IP复用技术设计,通过Xilinx Vivado软 件中IP Catalog调用Float-Point、Block Memory Generator和FIFO Generator。Float-Point 是浮点IP核,主要使用浮点加法器、浮点减法器、浮点乘法器、浮点除法器以及浮点平 方根运算,完成各功能模块中的数学运算;Block Memory Generator是片上存储器IP核, FIFO Generator是先进先出存储器队列IP核,本发明选用双端口RAM和FIFO作为缓冲 器,存储模块与模块间以及模块内部变量的运算结果。各模块电路内部采用有限状态机 控制,通过状态的转换来控制每个步骤的数据的读入、读出和存储。
以下将详细介绍在FPGA平台上实现各功能模块的硬件架构。
具体硬件实现方案如下:
1)误差函数评估(EF)模块。误差函数EF的硬件结构如附图3所示,分为两部分 实现:第一部分根据拟合参数向量pk获得高斯函数值,第二部分计算拟合误差EF(pk)。 硬件架构上半部分是高斯函数值计算单元的硬件设计。其中,(xi-μ)2和-2σ2是并行计 算的。为加速高斯函数拟合的计算过程,尽可能地并行计算单个脉冲包络的所有采样数 据点的拟合值。该硬件架构使用单个脉冲上8个采样数据点进行高斯拟合,可以同时计 算8组高斯函数值,计算结果存在RAM中。硬件架构下半部分是误差函数计算单元的 硬件设计。高斯函数值计算单元完成计算后,误差函数计算单元开始计算。使用多路选 择器依次选择拟合值和对应采样数据点的幅值流入减法器相减,得到二者的差值。深度 流水结构的向量与向量乘法(VVM)单元被用于平方和运算,VVM单元硬件结构如图3 所示。最后结果经过平方根运算,得到误差函数值。该模块由33个乘法器,9个加法器, 9个减法器,8个指数运算(exp),8个除法器和一个平方根运算(sqrt)构成,互连关系 如附图3所示。
2)步长λk计算模块。采用线性搜索计算(LS)方法,附图4-5为LS方法硬件实现, 包括两部分:比较判断部分和步长更新部分。比较判断部分执行比较运算,并且判断步 骤跳转。并行计算EF(pkkdk)和EF(pk)+ρλkgk Tdk,并通过比较器进行比较。若比较 结果输出为1,则多路选择器选择(1-ρ)参与计算,计算EF(pk)+(1-ρ)λkgk Tdk,否则跳 转至步长更新部分。EF(pk)+(1-ρ)λkgk Tdk与EF(pk)+ρλkgk Tdk复用同一组硬件资源进行 计算,通过多路选择器根据sel0选择ρ和(1-ρ)参与运算。步长更新单元中的不同更新公 式复用相同的加法器和乘法器,以充分利用硬件资源。所有数学运算都尽可能采用流水 线结构设计,以提高电路整体的工作频率,实现更高的运算性能。
3)梯度gk计算(GC)模块。附图6是梯度计算的流水线硬件结构,采用并行结构 设计是运算速度和硬件资源之间的折衷。pk是输入参数向量,通过多路选择器控制向量 pk的每个元素pkr分别加或减
Figure BDA0002303786850000091
得到4组新的向量,并将计算结果存储在各自 的RAM中。调用两组目标函数评估模块并行计算,两组计算结果序列依次对应传输到
减法器两端,最后流水输入到乘法器与
Figure BDA0002303786850000092
相乘得到目标函数的梯度。并行结 构的设计是运算速度和硬件资源之间的折衷。
4)Bk矩阵更新(BU)模块。该模块通过迭代生成海森逆矩阵的近似矩阵。Bk矩 阵通过以下公式更新:
Figure BDA0002303786850000093
sk=pk+1-pk
zk=gk+1-gk
Figure BDA0002303786850000094
附图7是BU模块的硬件架构,向量与向量乘法单元在此模块中大量使用,例如zk Tsk。中间结果被缓存在片上RAM单元中,数据用于在随后的计算步骤中重复使用。 使用FIFO作为缓冲,等待更新等式中的其他子项的结果。BU模块逐行更新Bk+1矩阵。 在最后的加法器两端,同时进行两个子项的数学计算,使用FIFO来缓冲vkvk T子项的计 算结果并等待更新公式中的其他子项的计算结果。各子项计算完成后,各元素依次进入 加法器两端,逐行更新Bk+1矩阵。此外,该模块附带完成搜索方向的更新,通过矩阵向 量乘单元将更新后的矩阵与梯度向量相乘后取相反数,得到新的搜索方向dk+1=-Bk+1gk+1并缓存在片上BRAM用于下一轮迭代。
第四步:选择PD-BFGS模块优化方案,实时处理相互交替的参考脉冲和目标脉冲。
由于待测距离范围不同,参考脉冲和目标脉冲间特定时间间隔(tinterval)不固定。为 保证BFGS-QN求解器在tinterval内,即在目标脉冲(参考脉冲)到达之前完成参考脉冲(目标脉冲)的峰值检测,本发明提出了PD-BFGS模块的两种优化方案,得到tref1,ttar和tref2, 分别表示参考脉冲,目标脉冲和下一周期参考脉冲的时刻。
两种方案的硬件结构图如附图8-9所示。
当tinterval小于流水线间隔时,应使用方案一;当tinterval大于流水线间隔时,应使用方 案二。
方案一:使用两个BFGS-QN求解器并行处理参考信号脉冲和目标信号脉冲。第一个BFGS-QN求解器处理参考信号脉冲,第二个BFGS-QN求解器处理目标信号脉冲。该 方案可以忽略两对脉冲之间的时间间隔的限制,理论上不会影响***的测量范围。
方案二:使用一个BFGS-QN求解器流水处理参考信号脉冲和目标信号脉冲。LS块的执行时钟周期数大致等于GC和BU块的总和,因此BFGS-QN求解器可以在两级流水 线中执行。使用少量的硬件资源实现数据缓存与流水线控制逻辑模块,用于存储中间数 据并控制流水线。当tinterval大于流水线间隔(LS块的执行时间)时,第二级(GC+BU) 处理参考脉冲(目标脉冲),第一级(LS)同时处理目标脉冲(参考)脉冲。
第五步:更新当前激光重复频率fr
重复频率测量(RFM)模块通过计数器计算每秒激光脉冲的个数,每秒即时更新当前激光重复频率fr,这是距离计算中的重要参数。
第六步:计算待测距离
距离计算(DC)模块,根据第三步和第四步得到的tref1,ttar、tref2和fr,由下列公 式计算待测距离L:
Figure BDA0002303786850000111
其中c表示真空中的光速,ng表示空气的折射率,fr表示信号激光的重复频率。
第七步:将结果发送给上位机
结果通过通用异步接收器/发送器(UART)RS232通信接口模块发送到上位机。

Claims (6)

1.双飞秒激光测距***数据处理平台,包括控制模块以及与所述控制模块连接的锁相环模块、动态阈值滤波模块、峰值检测模块、距离计算模块、通信接口模块,所述距离计算模块连接重复频率测量模块;用于实时处理采样信号,检测每个脉冲的峰值时刻,根据双飞秒激光测距原理计算距离,最后发送距离值,其特征在于,所述的峰值检测模块采用基于BFGS的峰值检测模块,采用BFGS-QN求解器在超短时间间隔内完成脉冲重构,采用迭代方法计算拟合参数,得到对应于脉冲峰值的中心时刻;
所述基于BFGS的峰值检测模块的硬件结构包括:
计算控制模块,,通过设计有限状态机来控制所有其他模块的执行顺序,调用片上BRAM来缓存模块间内部变量的计算结果并且将数据传输给相应的模块;
目标函数评估模块,计算超短激光脉冲包络重构过程中高斯拟合的误差函数;
步长计算模块,通过当前拟合参数向量、搜索方向和梯度确定在搜索方向上的步长;
梯度计算模块,计算当前目标函数的梯度,判断是否满足跳出条件并完成参数向量的更新;
矩阵更新模块,由BFGS校正公式更新海森矩阵的逆矩阵,结合目标函数梯度确定新的搜索方向。
2.根据权利要求1所述的双飞秒激光测距***数据处理平台,其特征在于,所述的目标函数评估模块包括:
高斯函数值计算单元,并行计算单个脉冲包络的所有采样数据点的拟合值,使用单个脉冲上8个采样数据点进行高斯拟合,同时计算8组高斯函数值,计算结果存在RAM中;
误差函数计算单元,使用多路选择器依次选择拟合值和对应采样数据点的幅值流入减法器相减,得到二者的差值;深度流水结构的向量与向量乘法单元被用于平方和运算,最后结果经过平方根运算,得到误差函数值。
3.根据权利要求1所述的双飞秒激光测距***数据处理平台,其特征在于,所述的基于BFGS的峰值检测模块,使用两个BFGS-QN求解器并行处理参考信号脉冲和目标信号脉冲,第一个BFGS-QN求解器处理参考信号脉冲,第二个BFGS-QN求解器处理目标信号脉冲。
4.根据权利要求1所述的双飞秒激光测距***数据处理平台,其特征在于,所述的基于BFGS的峰值检测模块,使用一个BFGS-QN求解器流水处理参考信号脉冲和目标信号脉冲。
5.根据权利要求1所述的双飞秒激光测距***数据处理平台,其特征在于,基于BFGS的峰值检测模块的数据处理过程如下:
(1)采样数据点(xi,yi)和初始参数p0输入计算控制模块并分配给目标函数评估模块、步长计算模块、梯度计算模块、矩阵更新模块;
(2)采样数据点(xi,yi)和拟合参数值pk输入目标函数评估模块计算当前误差函数值EF
(3)误差函数值EF和当前迭代轮次的拟合参数向量pk、下降方向dk和梯度gk输入线性搜索模块确定搜索方向上的步长λk
(4)步长λk、误差函数值EF和当前迭代轮次的拟合参数向量pk、下降方向dk共同输入梯度计算模块,得到下一迭代轮次的拟合参数向量pk+1、梯度gk+1并输出给计算控制模块缓存;
(5)下一迭代轮次的梯度gk+1和向量sk=pk+1-pk输入矩阵更新模块,输出下一迭代轮次的下降方向dk+1
(6)计算控制模块判断是否满足跳出条件,若满足输出峰值时刻拟合结果,若不满足重复工作流程第(2)步。
6.根据权利要求1所述的双飞秒激光测距***数据处理平台,其特征在于,数据通路中模块电路采用IP复用技术,通过Xilinx Vivado软件中IP Catalog调用Float-Point、BlockMemory Generator和FIFO Generator,选用双端口RAM和FIFO作为缓冲器,存储模块与模块间以及模块内部变量的运算结果,各模块电路内部采用有限状态机控制,通过状态的转换来控制每个步骤的数据的读入、读出和存储。
CN201911231885.5A 2019-12-05 2019-12-05 双飞秒激光测距***数据处理平台硬件实现 Pending CN111190190A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201911231885.5A CN111190190A (zh) 2019-12-05 2019-12-05 双飞秒激光测距***数据处理平台硬件实现

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201911231885.5A CN111190190A (zh) 2019-12-05 2019-12-05 双飞秒激光测距***数据处理平台硬件实现

Publications (1)

Publication Number Publication Date
CN111190190A true CN111190190A (zh) 2020-05-22

Family

ID=70707703

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201911231885.5A Pending CN111190190A (zh) 2019-12-05 2019-12-05 双飞秒激光测距***数据处理平台硬件实现

Country Status (1)

Country Link
CN (1) CN111190190A (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112014853A (zh) * 2020-09-04 2020-12-01 杭州巨星科技股份有限公司 一种激光测距专用电路及基于电路的测距方法
CN112051442A (zh) * 2020-08-05 2020-12-08 中电科仪器仪表有限公司 一种微波峰值功率测量中提高时间参数测量速度的方法
CN113340467A (zh) * 2021-05-13 2021-09-03 中国计量大学 双脉冲波形识别与时间间隔测定方法
TWI804406B (zh) * 2022-08-04 2023-06-01 大陸商星宸科技股份有限公司 基於智慧處理器的飛行時間資料處理裝置與其資料處理方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016174280A (ja) * 2015-03-17 2016-09-29 日本電信電話株式会社 無線受信機と受信信号処理方法
CN106528357A (zh) * 2016-11-24 2017-03-22 天津大学 基于拟牛顿法在线训练神经网络的fpga***及实现方法
US20180299536A1 (en) * 2017-04-18 2018-10-18 Raytheon Company Ladar range rate estimation using pulse frequency shift

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016174280A (ja) * 2015-03-17 2016-09-29 日本電信電話株式会社 無線受信機と受信信号処理方法
CN106528357A (zh) * 2016-11-24 2017-03-22 天津大学 基于拟牛顿法在线训练神经网络的fpga***及实现方法
US20180299536A1 (en) * 2017-04-18 2018-10-18 Raytheon Company Ladar range rate estimation using pulse frequency shift

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
周鹏: "基于高斯拟合的光纤型SPR信号的峰值检测算法" *
李莉莉: "基于前期经验的GA重叠峰解析算法研究" *
王俊宏: "LIDAR数据处理关键技术研究" *

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112051442A (zh) * 2020-08-05 2020-12-08 中电科仪器仪表有限公司 一种微波峰值功率测量中提高时间参数测量速度的方法
CN112051442B (zh) * 2020-08-05 2023-08-25 中电科思仪科技股份有限公司 一种微波峰值功率测量中提高时间参数测量速度的方法
CN112014853A (zh) * 2020-09-04 2020-12-01 杭州巨星科技股份有限公司 一种激光测距专用电路及基于电路的测距方法
CN113340467A (zh) * 2021-05-13 2021-09-03 中国计量大学 双脉冲波形识别与时间间隔测定方法
CN113340467B (zh) * 2021-05-13 2022-11-04 中国计量大学 双脉冲波形识别与时间间隔测定方法
TWI804406B (zh) * 2022-08-04 2023-06-01 大陸商星宸科技股份有限公司 基於智慧處理器的飛行時間資料處理裝置與其資料處理方法

Similar Documents

Publication Publication Date Title
CN111190190A (zh) 双飞秒激光测距***数据处理平台硬件实现
Sudhashree et al. Analysis of Low Complexity Memory Footprint Reduction for Delay and Area Efficient Realization of 2D FIR Filters
CN102680945B (zh) 基于fpga的多普勒调频率估计方法
CN106528357A (zh) 基于拟牛顿法在线训练神经网络的fpga***及实现方法
CN102394593A (zh) 一种整型化的最小均方lms自适应滤波器和方法
Putra A novel fixed-point square root algorithm and its digital hardware design
CN109521992B (zh) 一种无乘法器的基于cordic算法的线性调频信号生成方法
Safarian et al. FPGA implementation of LMS-based FIR adaptive filter for real time digital signal processing applications
CN103559019A (zh) 一种通用浮点全流水fft运算ip核
CN105183425A (zh) 一种具有高精度低复杂度特性的固定位宽乘法器
Miao et al. A parallel stochastic computing system with improved accuracy
US4785411A (en) Cascade filter structure with time overlapped partial addition operations and programmable tap length
CN114895596A (zh) 一种高速数据采集处理***
CN107092462B (zh) 一种基于fpga的64位异步乘法器
Walke et al. 20-GFLOPS QR processor on a xilinx virtex-e FPGA
CN105911350B (zh) 频率自适应递归svft谐波序分量实时检测方法及***
CN102353940B (zh) 基于fpga的脉冲压缩优化方法
CN107659290A (zh) 带宽扩展滤波器及其设计方法
CN110837624A (zh) 一种针对sigmoid函数的近似计算装置
CN111865385B (zh) 一种基于fpga的二维平面阵数字波束形成方法
CN103323032B (zh) 带死区单元双滑动窗滤波的阶跃信号检测方法
CN107193784B (zh) 高精度低硬件复杂度的sinc插值实现方法及***
CN107436380A (zh) 电能脉冲产生方法及装置
Liu et al. Hardware architectures for eigenvalue computation of real symmetric matrices
CN107294625A (zh) 信号功率估计方法及装置、接收机

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20200522