CN111064449A - 一种基于uvm平台的数字降采样滤波器的验证平台及方法 - Google Patents

一种基于uvm平台的数字降采样滤波器的验证平台及方法 Download PDF

Info

Publication number
CN111064449A
CN111064449A CN201911263111.0A CN201911263111A CN111064449A CN 111064449 A CN111064449 A CN 111064449A CN 201911263111 A CN201911263111 A CN 201911263111A CN 111064449 A CN111064449 A CN 111064449A
Authority
CN
China
Prior art keywords
data
data packet
verification
platform
uvm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201911263111.0A
Other languages
English (en)
Inventor
徐鹏
张驰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Chengdu Hongchiyuan Technology Co Ltd
University of Electronic Science and Technology of China
Original Assignee
Chengdu Hongchiyuan Technology Co Ltd
University of Electronic Science and Technology of China
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Chengdu Hongchiyuan Technology Co Ltd, University of Electronic Science and Technology of China filed Critical Chengdu Hongchiyuan Technology Co Ltd
Priority to CN201911263111.0A priority Critical patent/CN111064449A/zh
Publication of CN111064449A publication Critical patent/CN111064449A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H17/00Networks using digital techniques
    • H03H17/02Frequency selective networks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Mathematical Physics (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

本发明公开了一种基于UVM平台的数字降采样滤波器的验证平台及方法,验证平台包括了测试样例、事务类数据包、数据接口、数据包生成器、验证环境、待测的数字降采样滤波器模块DUT;在验证环境中集成了计分板,主代理和从代理,而主代理中包含了数据包接收器,数据包驱动器和数据包收集器,而从代理中只有数据包收集器。在计分板组件中通过UVM平台和c语言的DPI接口,引入数字降采样滤波器的基于matlab的黄金模型与待测单元进行数据比对进行功能性测试。本发明运用UVM验证方法学搭建了一个可复用,灵活性高,高效的可与matlab交互的验证平台,可对数字降采样滤波器模块较完备的进行测试,大大提高该模块的可靠性。

Description

一种基于UVM平台的数字降采样滤波器的验证平台及方法
技术领域
本发明涉及及数字电路验证领域,具体来讲涉及一种基于UVM平台的数字降采样滤波器的验证平台及方法,用于降采样滤波器模块的功能正确性验证
背景技术
随着集成电路先进制程的发展,芯片的功能越来越复杂,芯片设计的规模也越来越大,高昂的流片成本之下,硅前的芯片验证对于芯片开发越来越至关重要。高效地验证方法不仅能有效地缩短芯片开发周期,还能大大提高芯片的开发质量。
万物互联的时代,处处都离不开数据的收集与处理,而降采样滤波器大量运用于数字信号的处理过程中,因此,高可靠性的数字滤波器的设计尤为重要。针对数字滤波器,传统的验证方法是通过verilog语言编写特定的测试样例,以文本形式保存,再调用matlab对样例数据进行处理后与电路输出结果进行比对,这样的验证方法结构上缺乏层次化设计,不具备完备性,灵活性和复用性。定向测试也不能最大程度地实现功能覆盖。
UVM全称为通用验证方法学,是芯片验证业界最新研发的一种验证方法学,UVM构建了完善的验证平台,基于systemverilog语言开发了丰富的库函数,验证工程师可以通过通用组件构建自己所需的特性化组件,产生复杂,大量,带约束的随机激励,同时其中的组件高度复用,灵活,可重构,大大提高了验证工作的效率和扩展性。
发明内容
因此,为了解决上述不足,本发明在此提供一种基于UVM平台的数字降采样滤波器的验证平台及方法,以具有自动化,简单可控,高可靠性,可重用性,可移植性的验证平台高效地对数字滤波模块进行验证。
本发明是这样实现的,构造一种基于UVM平台的数字降采样滤波器的验证平台,其特征在于;数字降采样验证平台中包括了多个可插取、可复用的模块化组件,其中有:
测试样例TESTCASE,封装所有测试组件及数据,在测试平台上运行以完成验证;
待测滤波器模块DUT,以电路模块形式完成降采样滤波;
事务类数据包transaction,包含了对测试数据的数据分布处理,同时还具有特定参数,指明数据包的类型,可通过参数的选取生成特定数据包类型;
数据接口interface,通过虚拟接口的方式,完成待测单元与验证环境的数据交互以及数据类型的转换;
数据包生成器sequence,负责产生测试数据,通过参数化控制产生随机数据或者特定的数据;
验证环境environment,包含了主代理,从代理和计分板三个组件,其中主代理中封装了数据包驱动器,数据包收集器,数据包接收器三个组件;而从代理组件中只有数据包收集器一个组件;计分板scoreboard,负责进行数据比对,检测待测单元的功能是否正确,设置DPI接口与matlab进行数据交互。
根据本发明所述一种基于UVM平台的数字降采样滤波器的验证平台,其特征在于;降采样滤波器模块技术指标为:256倍数据降采样,通带衰减低于0.01dB,阻带衰减大于90dB。
根据本发明所述一种基于UVM平台的数字降采样滤波器的验证平台,其特征在于;平台之间的数据是以TLM事务层进行交互,组件之间通过port以及export端口进行数据传递;所述事务类数据包可包含待测单元的输入数据以及输出数据;数据包生成器sequence对数据进行随机化,所述随机化可通过带约束随机产生边界值比重较高的数据包,或特定含义的数据包;验证过程中,生成的数据包被发给主代理中的sequencer,主代理中的driver通过握手机制获取sequencer中的数据包,并将数据包中的数据驱动成输入接口上的时序信号发送给DUT,同时,主代理中的monitor会根据时序接收输入接口上的输入数据组装成事务级的数据包,发送给环境中的scoreboard;从代理中的monitor同样根据时序接收输出端口上的数据组装成事务级数据包传递给环境中的scoreboard。
根据本发明所述一种基于UVM平台的数字降采样滤波器的验证平台,其特征在于;事务类数据包transaction中封装了数据生成函数,验证过程中可通过该函数生成的数据类型有:1)全随机数据;2)边界值比重高的数据;3)全为最小值的数据;4)全为最大值的数据;5)频率为20kHz的正弦信号;6)频率为15kHz的正弦信号;7)频率为200Hz的正弦信号;8)频率为100Hz的正弦信号;较为完备的覆盖了测试所需的数据类型。
根据本发明所述一种基于UVM平台的数字降采样滤波器的验证平台,其特征在于;在组件scoreboard中,通过设置DPI接口,嵌入了基于matlab的算法c语言模型,该模型通过使用matlab中的mcc编译器获得。scoreboard组件接收到主代理传递过来的输入数据后,通过DPI接口,将数据导入到模型中进行处理获取正确的数据包,并与从代理传递而来的输出数据包进行比对,检查DUT的数据处理功能是否正确。
根据本发明所述一种基于UVM平台的数字降采样滤波器的验证平台,其特征在于;针对于所产生的数据包,设置了覆盖率收集,完整的覆盖率要求对于数据的每一bit的0,1两种二进制情况都必须覆盖到。
根据本发明所述一种基于UVM平台的数字降采样滤波器的验证平台,其特征在于;在UVM的report phase阶段验证平台会将覆盖率信息,以及数据比对结果打印,要求数据完全相同才视为比对成功。
根据本发明所述一种基于UVM平台的数字降采样滤波器的验证平台,其特征在于;所述计分板中嵌入matlab参考算法的具体方法为,获取正确的matlab算法模型,利用mcc编译器,将M模型编译转换成C的动态库,模型被编译到动态库libmtfun.so中;在计分板一侧,使用DPI-C接口指向动态库,至此便可在UVM中调用C算法模型;实现在计分板中嵌入matlab参考算法。
一种基于UVM平台的数字降采样滤波器的验证方法,其特征在于;包括以下步骤:
步骤1,平台顶层实例化待测单元DUT,UVM的全部组件,接口,连接验证平台和DUT模块,启动测试样例;
步骤2,样例中sequencer发送获取事务类数据包请求启动sequence根据所设置的参数生成待测数据包,数据包驱动器通过握手机制获取数据包,驱动成接口信号传递给DUT模块;
步骤3,DUT模块完成数据处理,从代理完成输出接口事务级数据收集将结果数据传递给计分板;
步骤4,主代理完成输入接口事务级数据收集将数据传递给计分板,计分板中调用参考模型处理输入数据得到预期的输出数据包,然后与来自输出接口的数据包进行比对,得出验证结果;步骤3与步骤4同步进行;
步骤5,报告阶段打印覆盖率报告以及验证结果。若覆盖率不足百分之百,修改参数设置,再次进行验证。
本发明具有如下优点:本发明公开了一种基于UVM平台的数字降采样滤波器的验证平台及方法,其中验证平台包括了测试样例TESTCASE,事务类数据包transaction,数据接口interface,数据包生成器sequence,验证环境enviroment,待测的数字降采样滤波器模块DUT。其中,在验证环境中集成了计分板scoreboard,主代理master_agent和从代理slave_agent,而主代理中包含了数据包接收器sequencer,数据包驱动器driver和数据包收集器monitor,而从代理中只有数据包收集器monitor。在计分板scoreboard组件中通过UVM平台和c语言的DPI接口,引入数字降采样滤波器的基于matlab的黄金模型与待测单元进行数据比对进行功能性测试。本发明运用UVM验证方法学搭建了一个可复用,灵活性高,高效的可与matlab交互的验证平台,可对数字降采样滤波器模块较完备的进行测试,大大提高该模块的可靠性。
本发明具有的明显优势如下:(1)本发明以UVM验证平台为基础,通过UVM丰富的库函数,产生测试所需激励,确保激励的多样性,随机性,完备性,可以遍历数字降采样滤波器的所有可能取值空间。激励同时发送给RTL代码以及高层次的参考模型,进行两者输出的比对,验证电路对算法实现的功能性。(2)UVM验证平台结构良好,组件具有高复用性,高移植性,便于不同数字信号处理模块的交叉使用以及多模块环境的集成。同时以功能覆盖率为导向增强了验证的可信度。
附图说明
图1为验证平台框架示意图;
图2为验证流程示意图;
图3为验证的数据流向示意图;
图4为验证平台组件的树形结构示意图;
图5为数字降采样滤波器的框架示意图。
具体实施方式
下面将结合附图1-图5对本发明进行详细说明,对本发明实施例中的技术方案进行清楚、完整地描述,显然,所描述的实施例仅仅是本发明一部分实施例,而不是全部的实施例。基于本发明中的实施例,本领域普通技术人员在没有做出创造性劳动前提下所获得的所有其他实施例,都属于本发明保护的范围。
本发明通过改进在此提供一种基于UVM平台的数字降采样滤波器的验证方法,以具有自动化,简单可控,高可靠性,可重用性,可移植性的验证平台高效地对数字滤波模块进行验证。
本发明涉及一种基于UVM平台的数字降采样滤波器的验证平台,该验证平台主要包括:测试样例TESTCASE,事务类数据包transaction,数据接口interface,数据包生成器sequence,验证环境environment,待测的数字降采样滤波器模块。
所述事务类数据包transaction中封装了数据生成函数,验证过程中可通过该函数生成的数据类型有:1)全随机数据;2)边界值比重高的数据;3)全为最小值的数据;4)全为最大值的数据;5)频率为20kHz的正弦信号;6)频率为15kHz的正弦信号;7)频率为200Hz的正弦信号;8)频率为100Hz的正弦信号。
所述数据接口interface包含了待测模块的输入输出接口;
所述数据包生成器sequence通过控制参数生成多样化的测试数据;
所述验证环境environment包含了主代理,从代理和计分板。
所述主代理中包含数据驱动器driver,数据收集器monitor,数据接收器sequencer三个组件,数据驱动器将事务级数据按照待测单元时序驱动成端口上的时序信号;数据收集器反之按照时序将端口上的时序信号收集组装成事务级数据,并发送给计分板;数据接收器接收验证环境外数据包生成器产生的数据包。
所述从代理中包含数据接收器monitor,负责按照时序收集输出端口上的时序信号,组装成事务级数据包发送给计分板。
其中,所述接收器中定义了数据覆盖率模型;
所述计分板中嵌入matlab参考算法的具体方法为,获取正确的matlab算法模型,利用mcc编译器,将M模型编译转换成C的动态库,模型被编译到动态库libmtfun.so中。在计分板一侧,使用DPI-C接口指向动态库,至此便可在UVM中调用C算法模型。实现在计分板中嵌入matlab参考算法。
所述验证平台还具备打印报告功能,在数据比对完毕后打印比对结果以及所定义的覆盖率值
本发明具有的明显优势如下:(1)本发明以UVM验证平台为基础,通过UVM丰富的库函数,产生测试所需激励,确保激励的多样性,随机性,完备性,可以遍历数字降采样滤波器的所有可能取值空间。激励同时发送给RTL代码以及高层次的参考模型,进行两者输出的比对,验证电路对算法实现的功能性。(2)UVM验证平台结构良好,组件具有高复用性,高移植性,便于不同数字信号处理模块的交叉使用以及多模块环境的集成。同时以功能覆盖率为导向增强了验证的可信度。
下面将结合附图和具体实施例对本发明作进一步阐述。
本发明验证平台的框架结构如图1所示,验证平台包括待测模块DUT,DUT的接口模块interface,测试用例层test,验证环境层env,计分板scoreboard,数据包生成器sequence,主代理master_agent和从代理slave_agent,数据包接收器sequencer,数据包驱动器driver和数据包收集器monitor。
最顶层是testbench,对数字降采样滤波器模块DUT,UVM的测试用例层,模块上的interface模块进行例化,接口模块中包含了DUT上的所有输入输出信号,DUT与验证环境通过接口内信号进行数据交互。同时testbench中生成相关的时钟和复位信号。
test层是测试用例,UVM会根据仿真命令选型+UVM_TESTNAME来例化相应的测试用例。不同测试用例下的控制参数不一样,以此便可简单方便地生成不同的测试数据。
env是验证环境层,用于例化具体的验证组件,包括master_agent,slave_agent,scoreboard。master_agent包含sequencer,driver,monitor,sequencer负责将定义好的随机激励发送给driver,driver将数据转换为对应的输入信号发送到DUT的输入端,monitor负责收集输入和输出信号,同时统计功能覆盖率。scoreboard对输入到发送过来的数据调用参考模型进行处理,得到的结果与输出端的数据进行比对。
仿真验证流程图如图2所示,testbench通过调用run_test()函数开始仿真,在仿真时间开始之前,按照UVM的phase机制,平台将完成各组件的实例化,实例化后的组件树形结构层次图如图4所示。
sequence中,根据参数的不同生成不同的测试数据包,数据包中包含了输入数据和输出数据,最基本的数据为利用randomize函数进行随机的数据,还内嵌了函数generation(),通过传递参数1-7,可生1)边界值比重高的数据;2)全为最小值的数据;3)全为最大值的数据;4)频率为20kHz的正弦信号;5)频率为15kHz的正弦信号;6)频率为200Hz的正弦信号;7)频率为100Hz的正弦信号。同时sequence中还可生成多个数据包依次进行驱动。一次完备的验证流程中,包含了10各随机生成的包以及各特定的数据包各一个。
sequencer拿到数据包后,接收到driver的数据请求后,将数据包发送给driver。
driver处于一直待命状态,一旦得到数据包,按照滤波器的时序要求将包中数据依次在时钟信号的上升沿驱动到DUT的输入端口上,直到所有数据都被发送,此时将给sequencer一个握手信号告知当前数据包已完成。
monitor同样也处于一直待命状态,检测DUT输入端口上的信号,一旦检测到输入或输出数据有效信号,生成一个新的数据包,在有效信号的上升沿将输出数据装入包中。同时monitor中设置了覆盖率组,对于输入数据和输出数据的每一位,都设置了0和1两个bins,以此确保数据完全覆盖到所有可能的区间。当包中数据容量达到预设值,说明组包完成,进行覆盖率统计的同时将该包发送到TLM端口上,数据包进入到分别与master_agent和slave_agent的两个FIFO中。使用FIFO能有效地避免数据的丢失。
scoreboard分别以阻塞的方式获取两个FIFO中的数据包,相对应的验证数据流如图3所示。对来自于master_agent的数据包,scoreboard通过systemverilog的动态程序接口DPI将数据导入到事先编译转换成C的动态库中的来自于matlab的算法模型,同时将结果导出进scoreboard。两者的协同是通过一个C程序的头文件来实现的。将导出后的数据与输出端口的数据进行比对得到验证结果。
sequence中所有数据发送完毕并完成比对后,进入到打印报告阶段,将上述的所有比对结果以及覆盖率统计结果打印,如果所有比对均成功,则验证成功,同时目标覆盖率应达到百分之百。
至此,完成整个对数字降采样滤波器的验证。
对所公开的实施例的上述说明,使本领域专业技术人员能够实现或使用本发明。对这些实施例的多种修改对本领域的专业技术人员来说将是显而易见的,本文中所定义的一般原理可以在不脱离本发明的精神或范围的情况下,在其它实施例中实现。因此,本发明将不会被限制于本文所示的这些实施例,而是要符合与本文所公开的原理和新颖特点相一致的最宽的范围。

Claims (9)

1.一种基于UVM平台的数字降采样滤波器的验证平台,其特征在于;数字降采样验证平台中包括了多个可插取、可复用的模块化组件,其中有:
测试样例TESTCASE,封装所有测试组件及数据,在测试平台上运行以完成验证;
待测滤波器模块DUT,以电路模块形式完成降采样滤波;
事务类数据包transaction,包含了对测试数据的数据分布处理,同时还具有特定参数,指明数据包的类型,可通过参数的选取生成特定数据包类型;
数据接口interface,通过虚拟接口的方式,完成待测单元与验证环境的数据交互以及数据类型的转换;
数据包生成器sequence,负责产生测试数据,通过参数化控制产生随机数据或者特定的数据;
验证环境environment,包含了主代理,从代理和计分板三个组件,其中主代理中封装了数据包驱动器,数据包收集器,数据包接收器三个组件;而从代理组件中只有数据包收集器一个组件;计分板scoreboard,负责进行数据比对,检测待测单元的功能是否正确,设置DPI接口与matlab进行数据交互。
2.根据权利要求1所述一种基于UVM平台的数字降采样滤波器的验证平台,其特征在于;降采样滤波器模块技术指标为:256倍数据降采样,通带衰减低于0.01dB,阻带衰减大于90dB。
3.根据权利要求1所述一种基于UVM平台的数字降采样滤波器的验证平台,其特征在于;平台之间的数据是以TLM事务层进行交互,组件之间通过port以及export端口进行数据传递;所述事务类数据包可包含待测单元的输入数据以及输出数据;数据包生成器sequence对数据进行随机化,所述随机化可通过带约束随机产生边界值比重较高的数据包,或特定含义的数据包;验证过程中,生成的数据包被发给主代理中的sequencer,主代理中的driver通过握手机制获取sequencer中的数据包,并将数据包中的数据驱动成输入接口上的时序信号发送给DUT,同时,主代理中的monitor会根据时序接收输入接口上的输入数据组装成事务级的数据包,发送给环境中的scoreboard;从代理中的monitor同样根据时序接收输出端口上的数据组装成事务级数据包传递给环境中的scoreboard。
4.根据权利要求1所述一种基于UVM平台的数字降采样滤波器的验证平台,其特征在于;事务类数据包transaction中封装了数据生成函数,验证过程中可通过该函数生成的数据类型有:1)全随机数据;2)边界值比重高的数据;3)全为最小值的数据;4)全为最大值的数据;5)频率为20kHz的正弦信号;6)频率为15kHz的正弦信号;7)频率为200Hz的正弦信号;8)频率为100Hz的正弦信号;较为完备的覆盖了测试所需的数据类型。
5.根据权利要求1所述一种基于UVM平台的数字降采样滤波器的验证平台,其特征在于;在组件scoreboard中,通过设置DPI接口,嵌入了基于matlab的算法c语言模型,该模型通过使用matlab中的mcc编译器获得。scoreboard组件接收到主代理传递过来的输入数据后,通过DPI接口,将数据导入到模型中进行处理获取正确的数据包,并与从代理传递而来的输出数据包进行比对,检查DUT的数据处理功能是否正确。
6.根据权利要求1所述一种基于UVM平台的数字降采样滤波器的验证平台,其特征在于;针对于所产生的数据包,设置了覆盖率收集,完整的覆盖率要求对于数据的每一bit的0,1两种二进制情况都必须覆盖到。
7.根据权利要求1所述一种基于UVM平台的数字降采样滤波器的验证平台,其特征在于;在UVM的report phase阶段验证平台会将覆盖率信息,以及数据比对结果打印,要求数据完全相同才视为比对成功。
8.根据权利要求1所述一种基于UVM平台的数字降采样滤波器的验证平台,其特征在于;所述计分板中嵌入matlab参考算法的具体方法为,获取正确的matlab算法模型,利用mcc编译器,将M模型编译转换成C的动态库,模型被编译到动态库libmtfun.so中;在计分板一侧,使用DPI-C接口指向动态库,至此便可在UVM中调用C算法模型;实现在计分板中嵌入matlab参考算法。
9.一种基于UVM平台的数字降采样滤波器的验证方法,其特征在于;包括以下步骤:
步骤1,平台顶层实例化待测单元DUT,UVM的全部组件,接口,连接验证平台和DUT模块,启动测试样例;
步骤2,样例中sequencer发送获取事务类数据包请求启动sequence根据所设置的参数生成待测数据包,数据包驱动器通过握手机制获取数据包,驱动成接口信号传递给DUT模块;
步骤3,DUT模块完成数据处理,从代理完成输出接口事务级数据收集将结果数据传递给计分板;
步骤4,主代理完成输入接口事务级数据收集将数据传递给计分板,计分板中调用参考模型处理输入数据得到预期的输出数据包,然后与来自输出接口的数据包进行比对,得出验证结果;步骤3与步骤4同步进行;
步骤5,报告阶段打印覆盖率报告以及验证结果。若覆盖率不足百分之百,修改参数设置,再次进行验证。
CN201911263111.0A 2019-12-11 2019-12-11 一种基于uvm平台的数字降采样滤波器的验证平台及方法 Pending CN111064449A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201911263111.0A CN111064449A (zh) 2019-12-11 2019-12-11 一种基于uvm平台的数字降采样滤波器的验证平台及方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201911263111.0A CN111064449A (zh) 2019-12-11 2019-12-11 一种基于uvm平台的数字降采样滤波器的验证平台及方法

Publications (1)

Publication Number Publication Date
CN111064449A true CN111064449A (zh) 2020-04-24

Family

ID=70300484

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201911263111.0A Pending CN111064449A (zh) 2019-12-11 2019-12-11 一种基于uvm平台的数字降采样滤波器的验证平台及方法

Country Status (1)

Country Link
CN (1) CN111064449A (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112685240A (zh) * 2020-12-30 2021-04-20 瓴盛科技有限公司 芯片子***验证方法和装置
CN112926285A (zh) * 2021-02-23 2021-06-08 北京集创北方科技股份有限公司 芯片验证方法、平台、装置、设备和存储介质
CN113704126A (zh) * 2021-09-02 2021-11-26 西安紫光国芯半导体有限公司 验证方法及其装置、计算机存储介质以及处理器
CN113836034A (zh) * 2021-10-11 2021-12-24 杭州云合智网技术有限公司 使用uvm序列项的rtl设计的验证方法
CN114826995A (zh) * 2022-04-22 2022-07-29 电子科技大学 一种基于UVM的支持PCIe的千兆以太网芯片的验证平台
CN114896931A (zh) * 2022-05-12 2022-08-12 北京联盛德微电子有限责任公司 一种基于握手机制的蓝牙收发通路的验证方法及***
CN115373645A (zh) * 2022-10-24 2022-11-22 济南新语软件科技有限公司 一种基于可动态定义的复杂数据包操作方法及***

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104935936A (zh) * 2015-06-15 2015-09-23 东南大学 基于uvm的熵解码器验证方法及装置
CN105893202A (zh) * 2016-04-26 2016-08-24 浪潮(北京)电子信息产业有限公司 一种基于uvm的存储控制器功能测试方法及***
WO2016197768A1 (zh) * 2016-01-04 2016-12-15 中兴通讯股份有限公司 芯片验证方法、装置及***
CN106503308A (zh) * 2016-10-08 2017-03-15 中国电子科技集团公司第五十八研究所 一种基于uvm的can控制器ip验证平台
CN109684681A (zh) * 2018-12-06 2019-04-26 西南电子技术研究所(中国电子科技集团公司第十研究所) 应用uvm验证平台的高层次化验证方法
CN110046387A (zh) * 2019-03-14 2019-07-23 广东工业大学 一种基于uvm的sm2模块验证平台及验证方法
CN110096441A (zh) * 2019-04-26 2019-08-06 北京航空航天大学 一种基于uvm方法下fpga软件仿真测试环境建立方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104935936A (zh) * 2015-06-15 2015-09-23 东南大学 基于uvm的熵解码器验证方法及装置
WO2016197768A1 (zh) * 2016-01-04 2016-12-15 中兴通讯股份有限公司 芯片验证方法、装置及***
CN105893202A (zh) * 2016-04-26 2016-08-24 浪潮(北京)电子信息产业有限公司 一种基于uvm的存储控制器功能测试方法及***
CN106503308A (zh) * 2016-10-08 2017-03-15 中国电子科技集团公司第五十八研究所 一种基于uvm的can控制器ip验证平台
CN109684681A (zh) * 2018-12-06 2019-04-26 西南电子技术研究所(中国电子科技集团公司第十研究所) 应用uvm验证平台的高层次化验证方法
CN110046387A (zh) * 2019-03-14 2019-07-23 广东工业大学 一种基于uvm的sm2模块验证平台及验证方法
CN110096441A (zh) * 2019-04-26 2019-08-06 北京航空航天大学 一种基于uvm方法下fpga软件仿真测试环境建立方法

Non-Patent Citations (6)

* Cited by examiner, † Cited by third party
Title
JEFERSON SANTOS BARROS等: "An Adaptive Closed-Loop Verification Approach in UVM-SystemC for AMS Circuits" *
徐鹏: "16位Sigma-delta ADC中数字模块的设计" *
程成: "一种16位Sigma-Delta ADC中抽取滤波器的研究与设计" *
邓庆勇等: "基于UVM 的DBF***模块级可重用验证平台的实现" *
顾志威等: "FIR 算法在可重构专用处理器中的并行化实现" *
骆丽等: "采用UVM的数字抽取滤波器的验证" *

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112685240A (zh) * 2020-12-30 2021-04-20 瓴盛科技有限公司 芯片子***验证方法和装置
CN112926285A (zh) * 2021-02-23 2021-06-08 北京集创北方科技股份有限公司 芯片验证方法、平台、装置、设备和存储介质
CN113704126A (zh) * 2021-09-02 2021-11-26 西安紫光国芯半导体有限公司 验证方法及其装置、计算机存储介质以及处理器
CN113836034A (zh) * 2021-10-11 2021-12-24 杭州云合智网技术有限公司 使用uvm序列项的rtl设计的验证方法
CN113836034B (zh) * 2021-10-11 2024-04-12 云合智网(上海)技术有限公司 使用uvm序列项的rtl设计的验证方法
CN114826995A (zh) * 2022-04-22 2022-07-29 电子科技大学 一种基于UVM的支持PCIe的千兆以太网芯片的验证平台
CN114896931A (zh) * 2022-05-12 2022-08-12 北京联盛德微电子有限责任公司 一种基于握手机制的蓝牙收发通路的验证方法及***
CN114896931B (zh) * 2022-05-12 2023-09-01 北京联盛德微电子有限责任公司 一种基于握手机制的蓝牙收发通路的验证方法及***
CN115373645A (zh) * 2022-10-24 2022-11-22 济南新语软件科技有限公司 一种基于可动态定义的复杂数据包操作方法及***
CN115373645B (zh) * 2022-10-24 2023-02-03 济南新语软件科技有限公司 一种基于可动态定义的复杂数据包操作方法及***

Similar Documents

Publication Publication Date Title
CN111064449A (zh) 一种基于uvm平台的数字降采样滤波器的验证平台及方法
CN109684681B (zh) 应用uvm验证平台的高层次化验证方法
CN108737187B (zh) 一种can总线故障模拟***及故障模拟方法
CN109739699A (zh) 一种基于uvm验证方法学的spi验证方法
CN101499937A (zh) 一种基于fpga的软硬件协同仿真验证***及方法
CN106503308A (zh) 一种基于uvm的can控制器ip验证平台
US20080306722A1 (en) Logic verification system
CN106682370B (zh) 一种仿真验证***
CN107038280A (zh) 一种软硬件协同仿真的验证***及其方法
CN112579381A (zh) 一种基于uvm的uart总线的uvm验证***及方法
CN111339731B (zh) 一种面向SoC的FPGA验证平台和验证方法
CN102033543A (zh) 用于分布式嵌入***的自动测试用例生成的方法及***
CN104331282A (zh) 一种无线电产品可重构综合开发测试***
CN116089281A (zh) 一种芯片测试方法、测试平台和设备
CN104486169A (zh) 可重用自动检测及随机验证***和方法
CN101102566B (zh) 一种手机jtag调试接口信号设计方法及其调试方法
CN114417768B (zh) 一种以太网芯片的数模混合仿真方法及***
CN102967815A (zh) 芯片测试方法、自动化测试机和***
CN108958225A (zh) 核电厂安全级dcs平台集成测试装置
CN201522707U (zh) 基于fpga的软硬件协同仿真验证***
CN109299530A (zh) 一种仿真测试案例生成方法、***、存储介质和终端
CN114036883A (zh) 一种基于uvm和vip的uart模块级验证平台
CN115496018A (zh) 一种SoC芯片多版本验证方法、装置及设备
CN109815073B (zh) 一种基于pxi平台的高速串口srio的测试方法
CN115190030A (zh) 一种实现can fd的硬件装置和uvm验证平台

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20200424