CN109841538A - 在线处理控制方法 - Google Patents

在线处理控制方法 Download PDF

Info

Publication number
CN109841538A
CN109841538A CN201810716831.7A CN201810716831A CN109841538A CN 109841538 A CN109841538 A CN 109841538A CN 201810716831 A CN201810716831 A CN 201810716831A CN 109841538 A CN109841538 A CN 109841538A
Authority
CN
China
Prior art keywords
wafer
plasma
critical dimension
temperature
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201810716831.7A
Other languages
English (en)
Inventor
彭筱华
岑翰儒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109841538A publication Critical patent/CN109841538A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

在此揭露一种在线处理控制方法。在一实施例中,方法包括:进行一第一晶圆上的一临界尺寸检查;产生第一晶圆的一第一临界尺寸图;基于第一晶圆的第一临界尺寸图来确定一第一温度分布图和一第一电浆处理配置,其中第一电浆处理配置包括一第一蚀刻时间和一第一电浆处理条件;以及使用第一电浆处理配置来配置用于处理第一晶圆的一电浆蚀刻制程。

Description

在线处理控制方法
技术领域
本发明实施例是有关一种在线处理控制方法。
背景技术
电浆增强处理技术已经广泛用于制造各种应用的装置,例如半导体集成装置、微电子装置、以及微机电装置。尽管这些应用中的特征尺寸可以从亚微米(sub-micrometer)变化到几纳米,但为了在制造期间进行在线处理控制以监测晶圆内(within wafer,WiW)及/或批次间(batch-to-batch)临界尺寸(critical dimension,CD)均匀性以提供最大生产量,则需要进一步的技术。举例来说,在集成电路制造中,诸如微影、沉积、以及蚀刻的图案化技术被用于形成各种特征于晶圆上的装置晶粒中,例如多晶硅线、装置(如晶体管、二极管等)、互连结构、以及接触垫等。理想情况下,来自一蚀刻加工站的一组相同晶圆的蚀刻速率(etch rate,ER)应该是恒定且可预测的。然而,由于诸如输入晶圆可变性、腔室维护活动、腔室调整等影响,蚀刻制程呈现出制程偏差(process drift)和不可预测的行为变化。由于这些制程偏差,在装置晶粒及/或晶圆内的图案化特征的临界尺寸可能不均匀。因此,不一致的蚀刻速率可能导致不符规格(out-of-specification,OOS)的蚀刻。较大的跨晶圆不符规格的临界尺寸最终会导致较大的晶粒间的性能变化,从而导致产量下降。因此,为了最小化临界尺寸的变化以最大限度地提高产量,特征的临界尺寸的监测和在线处理控制是重要的。
传统上,在线处理控制利用进阶处理控制(advanced processing control,APC)方法或剂量映射(dose mapper,DoMa)方法。在进阶处理控制方法中,使用诸如扫描电子显微镜(scanning electron microscopy,SEM)的技术来检查于使用微影制程进行显影之后的试验晶圆上的特征的临界尺寸(在下文中称为“显影后检查”(after-developmentinspection,ADI))。类似地,使用扫描电子显微镜来检查于蚀刻制程之后的特征的临界尺寸(在下文中称为“蚀刻后检查”(after-etch inspection,AEI))。然后计算在晶圆表面上的多个测试点处测量得到的临界尺寸值的平均值。进行蚀刻后检查-临界尺寸平均值与预定义的阈值临界尺寸窗口的比较。如果平均值超出预定义的阈值临界尺寸窗口,则以重新配置的蚀刻制程(例如改变了压力和时间)重复试验运行于下一个试验晶圆上,其中重新配置是基于蚀刻后检查-临界尺寸的反馈。进阶处理控制方法的主要缺点之一,是对蚀刻制程的反馈仅强化平均临界尺寸值而不是整个晶圆表面上的临界尺寸的均匀性。另一方面,剂量映射方法在蚀刻制程之后获取晶圆表面上的图案化特征的临界尺寸图。接着,将这些剂量映射蚀刻后检查图反馈到微影制程而不是蚀刻制程,以在曝光期间调整参数,例如剂量(如光子总数,其是波长、强度、以及时间的函数),从而改善临界尺寸的均匀性。与进阶处理控制相比,剂量映射方法及其应用可以提高蚀刻后检查-临界尺寸的均匀性,但是需要耗时的试验运行制程。
此外,这些方法皆无法令人满意地解决某些情况下的问题,例如当在蚀刻处理站中存在蚀刻速率的固有不均匀性时,或者当改变蚀刻处理站时(例如在预防性维护或工具调整之后)。最后,为了使产量最大化,最先进的制造设备通常将微影处理站与蚀刻处理站配对,但这不符合经济效益,因为一个微影处理站只能与一个特定的蚀刻处理站一起使用以获得可控的产量,而复制这些微影处理站与蚀刻处理站对必须得到非常大量的投资。因此,在晶圆表面上实现临界尺寸的均匀性的现有方法无法完全地令人满意。
发明内容
根据本揭示内容的多个实施方式,是提供一种在线处理控制方法,包括:进行一第一晶圆上的一临界尺寸检查;产生第一晶圆的一第一临界尺寸图;基于第一晶圆的第一临界尺寸图来确定一第一温度分布图和一第一电浆处理配置,其中第一电浆处理配置包括一第一蚀刻时间和一第一电浆处理条件;以及使用第一电浆处理配置来配置用于处理第一晶圆的一电浆蚀刻制程。
附图说明
当结合附图阅读时,从以下详细描述中可以更好地理解本揭露的各个方面。应注意,依据工业中的标准实务,多个特征并未按比例绘制。实际上,多个特征的尺寸可任意增大或缩小,以便使论述明晰。
图1为根据本揭示内容的各种实施例的在线处理控制***的方块图,此在线处理控制***融合在线显影后检查-临界尺寸检查站到半导体制造生产线中;
图2A为根据本揭示内容的各种实施例的用于在线处理控制的具有多个温度控制元件于晶圆台的背面的电浆处理***的剖面示意图;
图2B为根据本揭示内容的各种实施例的用于在线处理控制的具有多个温度控制元件于电浆处理***中的晶圆台的俯视示意图;
图3为根据本揭示内容的各种实施例的用于在线处理控制的具有多个温度控制元件的电浆处理***的方块图;
图4A为根据本揭示内容的各种实施例的在线处理控制方法的流程图;
图4B为根据本揭示内容的各种实施例的在线处理控制方法的流程图;
图5为根据本揭示内容的各种实施例的使用本方法和***所改进的临界尺寸的均匀性的示例性数据。
具体实施方式
以下揭示内容提供许多不同实施例或实例以用于实现所提供标的物的不同的特征。下文描述组件及排列的特定实例以简化本揭露。当然,此等仅仅为实例,并不旨在限制本揭露。举例而言,应理解的是,当称一元件被“连接到”或“耦合到”另一元件时,可以是直接连接到或耦合到另一元件,或者可以存在一个或多个中间元件。
本揭示内容提供了用于控制晶圆上的临界尺寸均匀性的在线处理控制方法和***的各种实施例,其是通过补偿后续制程期间的图案化遮罩层(例如来自微影制程的图案化光阻层)的不均匀性(例如电浆蚀刻制程的蚀刻层)。具体而言,与传统的进阶处理控制或剂量映射方法不同,本方法利用了晶圆台上的多个温度控制元件以及显影后检查临界尺寸图。显影后检查临界尺寸图是作为前馈输入提供给多个温度控制元件,从而可局部地改变半导体晶圆上的蚀刻速率。通过晶圆表面上的不均匀温度所提供的不均匀蚀刻速率,提供了蚀刻层中的临界尺寸均匀性的控制。因此,可有利地避免上述问题。
这些示例性实施例的描述应结合附图来理解,因此这些附图亦为整个说明书的一部分。另外,空间相对用语,诸如“下”、“上”、“水平”、“垂直”、“上方”、“下方”、“高”、“低”、“上部”、“底部”及类似者(例如“水平地”、“向下地”、“向上地”等),应解释为所描述的方向或如讨论中的附图所示的方向。这些相对用语是为了便于描述,并不旨在要求这些设备以特定方向建构或操作。
图1绘示根据本揭示内容的各种实施例的在线处理控制***100的方块图,此在线处理控制***100融合在线显影后检查-临界尺寸检查站104到半导体制造生产线中。须注意的是,***100仅是一实例,并不旨在限制本揭示内容。因此,应理解,可提供额外的操作于图1的***100之前、之间、以及之后,并且一些其他的操作可以仅在此简要地描述。
***100包括多个处理站102和106,以及位于处理站102和106之间的在线显影后检查-临界尺寸检查站104。在处理站102和106中进行的集成电路制造制程的实例包括清洁、微影、湿式蚀刻、干式蚀刻、介电质沉积、金属沉积、以及本领域已知的任何半导体制程。在一些实施例中,处理站102是微影处理站,而处理站106是电浆处理站。可在每个处理站102/106中创建至少一个特征,包括光阻图案、金属接触件、蚀刻沟槽、隔离件、通孔结构/孔洞、以及互连线等。
至少一个在线显影后检查-临界尺寸检查站104连接于处理站102和106之间,其中来自处理站102的至少一个晶圆在被传送到下一处理站106之前可被检查其临界尺寸。至少一个储存站(未绘示)可耦合于在线显影后检查-临界尺寸检查站104,其中可从生产线取出不符临界尺寸、分布或缺陷的晶圆,并储存于储存站中以待进行再处理或淘汰,而不转移至下一个处理站106。
如之后将进一步详细讨论的,在一些实施例中,检查站104可以是显影后检查***,其提供曝光和显影之后的晶圆上的光阻层中的图案的临界尺寸的测量。所述晶圆可以是具有导电特征的硅晶圆或具有介电层和前端制程(front-end-of-line,FEOL)处理之后的互连/通孔结构的晶圆。典型地,晶圆表面上的光阻图案的尺寸可以通过临界尺寸-扫描电子显微镜(CD-SEM)来测量。由于传统的微观光学检测***缺乏灵敏度和解析度而难以检测微小的物理缺陷,因此临界尺寸-扫描电子显微镜已被广泛用于检测微小的物理缺陷。根据各种实施例,除了临界尺寸-扫描电子显微镜***之外,还可以使用其他类型的用于临界尺寸检测的进阶自动化处理控制技术,例如使用具有多方位角和多通道透镜的散射测量法的光学临界尺寸(optical critical dimension,OCD)测量***、正入射光谱偏振反射(normal-Incidence Spectroscopic Polarized Reflectance)、以及椭圆偏振技术(ellipsometry)。
在一些实施例中,使用临界尺寸-扫描电子显微镜的显影后检查-临界尺寸检查制程通常是自动的。当测量制程开始时,临界尺寸-扫描电子显微镜将自动从卡匣中取出晶圆、将晶圆装载到检查站、以及在晶圆上的多个测试位置处拍摄显微图像。根据各种实施例,通过计算显微图像的线扫描中的像素数量,可以获得多个测试位置处的多个临界尺寸值。
继续参考图1,从在线显影后检查-临界尺寸检查站104获得的显微图像及/或多个临界尺寸值可被区域计算机114储存和预处理。预处理的实例可包括将多个显影后检查临界尺寸值和多个测试位置重构为二维(2D)显影后检查临界尺寸图,其将于之后进一步详细描述。在一些实施例中,图像处理操作可被区域计算机114作为预处理的一部分来执行,以根据预定义的阈值或标准,例如线宽、不规则形状、及不均匀性等,来自动地比较设计基准与晶圆表面的显微图像。
在一些实施例中,不能满足预定义的阈值或标准的晶圆(例如确定有缺陷或不均匀的晶圆在后续的制程中不能仅通过调节温度来修复),将被判定为不适合继续处理。根据各种实施例,这种晶圆通过传送带从在线显影后检查-临界尺寸检查站104转移至储存站(未绘示)的卡匣中以进行再处理或淘汰。另一方面,如果确定晶圆满足预定义的阈值或标准,则通过传送带将晶圆通过交换室105后传送至电浆处理站106以进一步处理。在一些实施例中,所述阈值可以根据应用而变化,并且可以由制造商来设置。在一些实施例中,处理站(102和106)和在线显影后检查-临界尺寸检查站(104)可不位于相同的处理机架区域(processing bay area),而可以通过自动材料搬运***(automatic material handlingsystem,AMHS)来耦合,其中晶圆/卡匣被自动材料搬运***载具移动于各站间的高架轨道上或地面上。
区域计算机112、114、以及116各自通过连接件108耦合到远程计算机资源110。在一些实施例中,连接件108可以包括以太网络电缆、光纤、无线通信介质及/或本领域已知的其它网络。应理解,可以在与处理站102、106、以及在线显影后检查-临界尺寸检查站104相关联的区域计算机112、116、以及114与远程计算机资源110之间部署其他连接件和中间电路以促进互连。
在一些实施例中,远程计算机资源110包括通常称为“云”或云计算的计算机网络、一或多个服务器、应用程序及/或数据中心。显影后检查临界尺寸图通过连接件108从区域计算机114被传送至远程计算机资源110。根据各种实施例,远程计算机资源110配置以基于显影后检查临界尺寸图中的多个临界尺寸值和预先配置的电浆处理条件(例如来自使用者或配方)来确定多个温度值,其随后被用于构建温度分布图。接着,通过连接件108将温度分布图传送至与电浆处理站106相关联的区域计算机116。在一些实施例中,如果区域计算机114可以对显影后检查临界尺寸图局部地执行分析,并且将温度分布图直接提供给电浆处理站106的区域计算机116,例如通过有线或无线通信,则远程计算机资源110可以是不必要的。
继续参考图1,根据各种实施例,在收到远程计算机资源110的温度分布图和电浆处理条件后,区域计算机116配置用于电浆蚀刻的电浆处理条件(例如压力、气体、温度、以及功率等)。如之后将进一步详细讨论的,在一些实施例中,区域计算机116向电浆处理站106内的晶圆片台上的多个温度控制元件提供控制信号,每个温度控制元件包括加热元件、冷却元件、以及传感元件中的至少一个。来自区域计算机116的控制信号是基于接收到的温度分布图来局部调整晶圆台上的温度,并由此根据温度相关的蚀刻速率来补偿显影后检查临界尺寸图中的不均匀性。因此,晶圆上期望的临界尺寸均匀性可以在电浆处理之后实现。
尽管图1所绘示的实施例中的***100仅包括两个处理站102/106、一个在线显影后检查-临界尺寸检查站104、两个交换室103/105、三个区域计算机112/114/116、以及一个远程计算机资源110,应理解的是,图1的实施例仅仅是为了说明的目的而提供的。***100可以包括具有任何所需数量的在线显影后检查-临界尺寸检查站和储存站的任何所需数量的处理站,而其仍在本揭示内容的范围内。此外,在一些实施例中,可以将一在线显影后检查-临界尺寸检查站104耦合到两个或多个处理站102/106及/或两个或更多个储存站。在一些实施例中,可以在两个处理站之间设置两个或更多个使用不同技术(例如电及/或光学测量)的在线显影后检查-临界尺寸检查站104以提供补充检查。
图2A绘示根据本揭示内容的各种实施例的用于在线处理控制的具有多个温度控制元件212于晶圆台208上的电浆处理***200的剖面示意图。处理腔室202包括至少一个电浆阴极203、连接到至少一个气体输入端的至少一个气体供给口204、以及连接到压力控制单元(例如真空泵)的至少一个真空口205。在一些实施例中,至少一个气体供给口204可位于阴极附近(例如在阴极后面)。在几毫托(mTorr)至几托(Torr)的压力下,每一个电浆阴极203耦合到以几十千赫(KHz)到几十兆赫(MHz)的频率工作的射频(radio frequency,RF)电源和相应的匹配电路(未绘示)。根据某些实施例,通过在电浆阴极203与晶圆台208之间施加交流电压,可以在电浆阴极203上形成电浆206(即包含离子化的原子或分子的气体),并且可以延伸跨过电浆阴极203与晶圆台208之间的空间到半导体晶圆210的表面。在一些实施例中,对于电浆沉积处理站,电浆阴极203可以直接连接到直流放电电源,以在几毫托到几托的压力下形成电浆206。在一些实施例中,诸如感应耦合电浆(inductively coupledplasma,ICP)、电子回旋共振(electron cyclotron resonance,ECR)、微波、以及螺旋波等技术,可以与射频电源整合,以用于产生具有所需沉积及/或蚀刻特性的高密度放电。
根据各种实施例。电浆处理***200可以是电浆蚀刻处理站或电浆增强沉积处理站(例如电浆增强化学气相沉积(plasma enhanced chemical vapor deposition,PECVD)、电浆增强原子层沉积(plasma enhanced atomic layer deposition,PEALD)等)。根据在此描述的示例性实施例,电浆处理***200可以用于处理半导体晶圆210的表面层。举例来说,根据需要,光阻层、遮罩层或半导体晶圆的其他层中的任一者,可根据所指定的配方,在电浆处理步骤之前、之后或其任何组合中进行处理。配方还指定用于在电浆处理腔室202中建立适当环境的条件,以实现半导体晶圆210上的期望特征和特征尺寸。在一些实施例中,所述配方还可以指定电浆处理条件,包括待引入电浆处理腔室的反应气体的类型和其流速、反应时的压力、被提供给电浆阴极203或晶圆台208的射频信号的功率和频率。
根据一些实施例,晶圆台208的背部可以耦合到电接地。在某些实施例中,晶圆台208也可以耦合到射频电源。虽然在附图中未绘示,对于本领域的普通技术人员来说,可以理解的是,电浆处理腔室202也可以配备有多个压力表、厚度监测***(石英晶体监视器、光谱椭偏仪、反射式高能电子衍射检测器(reflection high-energy electron diffractiondetector,RHEED))、挡板(shutter)、旋转操纵器、观察孔、以及转送通口等。
在一些实施例中,通过气体供给口204至电浆处理腔室202的气体可以包括O2、Ar、N2、H2、NH3、N2O、CF4、SF6、CCl4、CH4、H2S、SiH4、以及含金属前驱物中的至少一个或组合。
除了可使用包括III族、IV族、以及V族元素的其他半导体材料,晶圆210也可以是块状硅基板(bulk silicon substrate)。或者,基板可以是绝缘体上硅(silicon-on-insulator,SOI)基板。在一些实施例中,一装置层可以是设置在基板(未绘示)上的多晶硅层,以用于在晶圆210上形成一个或多个多晶硅闸极。在另一实施例中,装置层可以是用于形成互连结构(例如金属线和/或通孔)的金属化层,例如层间介电质(inter-layerdielectric,ILD)或金属间介电质(inter-metal dielectric,IMD)层。在其他实施例中,装置层可以是晶圆210中的任何层,其可以使用微影和蚀刻制程来图案化。晶圆210可以包括多个装置层。此外,装置层可以包括缓冲层(例如氧化物界面层,未绘示)或蚀刻停止层(例如氮化硅层、碳化硅层)等。可以形成硬遮罩于装置层之上以作为图案遮罩。硬遮罩可以包括氧化物、氮氧化硅(SiON)、氮化硅(Si3N4)或氮化钛(TiN)等。
继续参考图2A,电浆处理***200中的晶圆台208配备有多个温度控制元件212。根据某些实施例,多个温度控制元件212被组装在晶圆台208上,并且每个温度控制元件212独立地被温度控制电路所控制,且被温度感测电路所监测。根据各种实施例,温度控制/感测电路可以位于封闭在法拉第屏蔽(Faraday shield)中的电浆处理***200的处理腔室202内,以保护电子电路免受射频及处理腔室202之外的干扰。
根据各种实施例,图2B绘示用于在线处理控制的配备有多个温度控制元件212于电浆处理***200中的晶圆台208的俯视示意图220。每个温度控制元件212中包括加热元件、冷却元件、以及感测元件中的至少一个,其将在之后的图3中详细讨论。晶圆台208上的多个温度控制元件212是可操作的,从而创建半导体晶圆210上的温度分布图。
图3绘示根据本揭示内容的各种实施例的用于在线处理控制的具有多个温度控制元件306的电浆处理***的方块图300。第一晶圆经由显影后检查-临界尺寸检查站302扫描,并且微影图案化制程后的第一晶圆上的图案化光阻层上的多个测试位置处的多个临界尺寸值被显影后检查-临界尺寸检查***所确定。接着,组装各个测试位置处的多个临界尺寸值以产生第一晶圆的第一显影后检查临界尺寸图。在线显影后检查-临界尺寸检查站302可以使用临界尺寸-扫描电子显微镜及/或前述的其他合适的技术。根据各种实施例,根据晶圆尺寸和解析度的需求,测试位置的数量可以由使用者指定,并且可为几百处或者更多。在一些实施例中,测试位置的数量也由晶圆台上的多个温度控制元件的布置来决定。此外,第一晶圆的第一显影后检查临界尺寸图可以被传送至远程计算机资源304。同时,第一晶圆从在线显影后检查-临界尺寸检查站302转移到电浆处理站。
调节温度可以改变通过化学反应对所有暴露表面进行等向性蚀刻和通过离子轰击在结构底部进行非等向性蚀刻之间的比率。为了在水平和垂直两个方向上控制与位置相关的蚀刻速率(例如等向性和非等向性蚀刻),从而补偿图案化光阻层中的不均匀性,可以在不同的位置施加不同的温度。为了达到这一点,远程计算机资源304基于从在线显影后检查-临界尺寸检查站302所接收到的多个临界尺寸值来决定多个温度值,然后将其组装以在第一晶圆的表面上产生第一温度分布图。根据一些实施例,若显影后检查临界尺寸图不均匀,则在第一晶圆的整个表面上的第一温度分布图也不均匀。由施加到第一晶圆的不均匀的第一温度分布图引起的不均匀蚀刻速率,能够补偿图案化的光阻层中的不均匀性,即第一显影后检查临界尺寸图中的不均匀性。举例来说,显影后检查临界尺寸值小于平均值的位置可能需要更高的蚀刻速率,因此需要更高的温度。类似地,具有大于平均值的显影后检查临界尺寸值的位置可能需要较小的蚀刻速率,因此温度较低。因此,可以实现蚀刻之后第一晶圆表面上的临界尺寸值的均匀性。
除了温度之外,蚀刻速率也是腔室压力和压力分布(均匀性)的函数。例如,在高压下,由于离子穿过法拉第暗区的散射碰撞增加,蚀刻速率可能下降,因此可增加入射到晶圆的离子的角度扩散,以增加底切,并因此横向蚀刻。除温度和压力之外,可能影响蚀刻速率的其他参数包括气体流量、电浆功率、清洁度、以及用于蚀刻的暴露材料等。因此,根据第一显影后检查临界尺寸图的第一温度分布图,实际上系基于特定的电浆处理条件来决定,例如压力及其分布、电浆功率及其分布、流速、反应室的清洁度、以及温度历程(升降温情况)等。在一些实施例中,电浆处理条件在电浆处理站中预定义或由使用者在配方中指定。远程计算机资源304仅确定多个温度值,并因此确定第一温度分布图,然后将第一温度分布图传送至区域计算机318。在一些实施例中,电浆处理条件不是预定义的。此种情况下,远程计算机资源304可以执行多参个数优化以搜寻温度、压力、以及可以使电浆处理之后的第一晶圆上的不均匀性最小化的其他电浆处理条件的最佳组合。当搜寻到最佳方案时,远程计算机资源304将第一温度分布图和第一电浆处理条件传送至区域计算机318。
继续参考图3,在电浆处理站中支撑半导体晶圆的晶圆台包括多个温度控制元件306。每个温度控制元件包括加热元件308、冷却元件310、以及可以独立地被控制并可以一起工作以提供晶圆表面上的第一温度分布图的感测元件312。温度控制电路314用于响应于由远程计算机资源304所提供的第一温度分布图以及来自感测元件312和温度感测电路316的量测的温度反馈以将控制信号施加于加热元件308和冷却元件310。
温度控制元件306中的加热元件308可以是珀尔帖装置(Peltier device)及/或电阻加热器,例如聚酰亚胺加热器、硅橡胶加热器、云母加热器(mica heater)、金属加热器(例如W、Ni/Cr合金、Mo或Ta)、陶瓷加热器(如WC)、半导体加热器、碳加热器或任何其他合适类型的加热元件。温度控制元件306中的加热元件308可以按照各种设计或配置来实施,例如网版印刷、绕线、蚀刻箔片加热器或根据需要的任何其他合适的设计。
通过温度控制电路314可冷却具有多个冷却元件310的晶圆台来进一步提供温度控制。在一些实施例中,通过冷却元件310的液态或气态冷却剂可以用外部冷却器(未绘示)冷冻以获得更好的冷却效果,并且可以被再循环以获得更高的效率。可经由温度控制电路314来控制所述外部冷却器以冷却和再循环冷却剂流体。根据一些实施例,如果使用冷却器将冷却剂流体冷却到低于大气压的温度,则更快的冷却速率是可能的。在一些实施例中,冷却元件310在温度控制元件306中可以不是必需的。在一些实施例中,冷却元件310可以由至少两个邻近的温度控制元件306所共享。在一些实施例中,冷却元件310可以是极低温的。
晶圆台的局部温度由感测元件312通过温度感测电路316检测,并且可以用作对加热和冷却元件308/310的反馈。这对于以所需的时间响应来调整晶圆的温度特别有用。在一些实施例中,可以使用不同类型的感测元件,包括根据所需性能(例如检测范围、灵敏度、准确度、响应时间、可重复性、尺寸、功耗、以及成本等)而可选用的接触式和非接触式温度感应器。在一些实施例中,接触式温度感应器可以是由两种不同金属(例如镍、铜、钨、以及铝等)组成的恒温器、通常由陶瓷材料(例如镍、锰、以及钴等的氧化物)组成的热阻器、通常由薄的高纯度导电金属(例如铂、铜、以及镍等)组成的薄膜电阻感应器、由两种不同金属(例如铜铁、以及各种金属合金等)和两个接面组成的热电偶、半导体接面感应器、以及红外辐射感应器等。在一些实施例中,加热元件也可以用作感测元件。
根据各种实施例,每个温度控制元件306的各位置处的温度值是由加热元件308的电力、流过冷却元件310的流体导管的冷却流体的温度/流速、以及来自感测元件312的反馈所控制。可能需要额外的电路(例如PID控制器)或计算方式来提供准确的加热和冷却时间响应,例如达到稳定状态的时间。因此,第一温度分布图可以由多个温度控制元件306所配置以用于处理第一晶圆。
在一些实施例中,温度控制电路314包括用于每个温度控制元件306的电源供应、冷却剂供应、以及控制电路。在一些实施例中,温度控制电路314可以包括多路控制单元以调整多个加热元件308、冷却元件310、以及具有中央控制装置的感测元件312,此方式避免了每个温度控制元件306单独使用电源供应和控制电路。在一些实施例中,一开关电路可以与多路控制单元中的放大器、A/D转换器等一起使用。
温度控制电路314是代表性装置,并且可以包括处理器、记忆体、输入/输出接口、通信接口、以及***总线。
处理器可以包括用于控制***300的温度控制电路314的操作和性能的任何处理电路。在各方面,处理器可以为通用处理器、单晶片多处理器(chip multiprocessor,CMP)、专用处理器、嵌入式处理器、数字信号处理器(digital signal processor,DSP)、网络处理器、输入/输出(I/O)处理器、媒体访问控制(media access control,MAC)处理器、无线电基带处理器、共处理器(co-processor)、诸如复杂指令集计算机(complex instruction setcomputer,CISC)微处理器、精简指令集计算(reduced instruction set computing,RISC)微处理器、及/或超长指令集(very long instruction word,VLIW)微处理器的微处理器,或者其他处理装置。处理器还可为控制器、微控制器、专用集成电路(applicationspecific integrated circuit,ASIC)、现场可编程门阵列(field programmable gatearray,FPGA)、以及可编程逻辑装置(programmable logic device,PLD)等。
在各方面,处理器可以被配置以运行操作***(operating system,OS)和各种应用程序。操作***的实例包括Apple操作***、Microsoft Windows操作***、以及Android操作***等广为人知的商标名称的操作***和任何其他专有或开放资源操作***。应用程序的实例包括电话应用程序、相机(例如数字相机、摄像机)应用程序、浏览器应用程序、多媒体播放应用程序、游戏应用程序、讯息应用程序(例如电子邮件、短讯、多媒体)、以及检视应用程序等等。
在一些实施例中,提供至少一个其上具有计算机可执行指令的非暂时性计算机可读储存媒介,其中,当由至少一个处理器执行计算机可执行指令时,处理器将进行本揭示内容所描述的方法的实施例。此计算机可读储存媒介可为记忆体。
在一些实施例中,记忆体可以包括能够储存数据的任何机器可读或计算机可读媒介,包括永久性/非永久性记忆体和可移动/不可移动记忆体。记忆体可包括至少一个永久性记忆体单元。永久性记忆体单元能够储存一个或多个软件程序。软件程序可以包含例如应用程序、使用者数据、装置数据、及/或配置数据,或者上述的组合(在此仅举几例)。软件程序可以包含可由***300的控制电路314的各种组件所执行的指令。
举例来说,记忆体可以包括只读记忆体(read-only memory,ROM)、随机存取记忆体(random-access memory,RAM)、动态随机存取记忆体(dynamic RAM,DRAM),双倍数据速率动态随机存取记忆体(Double-Data-Rate DRAM,DDR-RAM)、同步动态随机存取记忆体(synchronous DRAM,SDRAM)、静态随机存取记忆体(static RAM,SRAM)、可编程只读记忆体(programmable ROM,PROM)、可擦除可编程只读记忆体(erasable programmable ROM,EPROM)、电可擦除可编程只读记忆体(electrically erasable programmable ROM,EEPROM)、快闪记忆体(例如NOR或NAND快闪记忆体)、内容可定址记忆体(contentaddressable memory,CAM)、聚合物记忆体(例如铁电聚合物记忆体)、相变记忆体(例如双向记忆体(ovonic memory))、铁电记忆体、硅-氧化物-氮化物-氧化物-硅(silicon-oxide-nitride-oxide-silicon,SONOS)记忆体、盘式记忆体(例如软盘、硬盘、光盘、磁盘片)、卡带(例如磁卡、光卡)或适用于储存信息的任何其他类型的媒介。
在一实施例中,记忆体可以包含用于执行如本揭示内容所述的形成一个或多个时序库(timing libraries)的方法的文件形式的指令集(instruction set)。指令集可以机器可读指令的任何可接受形式储存,包括原始程序码(source code)或各种适当的编程语言。可用于储存指令集的编程语言的一些实例包括但不限于:Java、C、C++、C#、Python、Objective-C、Visual Basic或.NET编程。在一些实施例中,包括编译器或解释器将指令集转换成机器可执行的代码以供处理器执行。
在一些实施例中,输入/输出接口可以包括任何合适的机构或组件以至少供使用者能够向***300的控制电路314提供输入并且向使用者提供输出。举例来说,输入/输出接口可以包括任何合适的输入机构,包括但不限于按钮、键板、键盘、点击轮、触控屏幕或动作感测器。在一些实施例中,输入/输出接口可以包括电容感测机构或多点触控电容感测机构(例如触控屏幕)。
在一些实施例中,输入/输出接口可以包括用于向使用者提供显示的可视周边输出装置(visual peripheral output device)。举例来说,可视周边输出装置可以包括并入***300的控制电路314中的屏幕,例如液晶显示器(liquid crystal display,LCD)屏幕。作为另一实例,可视周边输出装置可以包括可移动显示器或投影***以用于在远离***300的控制电路314提供表面上的内容的显示。在一些实施例中,可视周边输出装置可以包括编码器/解码器,也称为编解码器,以用于将数字媒体数据转换为模拟信号。举例来说,可视周边输出装置可以包括视频编解码器、音频编解码器或任何其它合适类型的编解码器。
可视周边输出装置也可以包括显示驱动器、用于驱动显示驱动器的电路、或上述两者。可视周边输出装置可用于在处理器的指导下显示内容。举例来说,可视周边输出装置可播放媒体回放信息(media playback information)、***300的控制电路314上的应用程序的应用程序屏幕、关于正在进行的通信操作的信息、关于正在传入通信请求的信息或装置操作屏幕,(在此仅举几例)。
在一些实施例中,通信接口可以包括能够将***300的控制电路314耦合到一个或多个网络及/或额外的装置的任何合适的硬件、软件或硬件和软件的组合。通信接口可配置以利用任何合适的技术来操作、配置以使用所需的一组通信协定(communicationsprotocol)、服务或操作程序来控制信息信号。通信接口可以包括适当的物理连接器以与相应的通信介质(无论是有线还是无线的)进行连接。
根据一些实施例,通信的***和方法包括网络。在各方面,网络可以包括区域网络(local area network,LAN)和广域网络(wide area network,WAN),包括但不限于网际网络、有线频道、无线频道、通信装置(包括电话、计算机、有线、无线、光学或其他电磁频道等)、以及上述的组合,包括与通信数据相关联的其他装置及/或组件。举例来说,通信环境包括内置通信(in-body communication)、各种装置、以及诸如无线通信、有线通信、以及其组合的各种通信模式。
无线通信模式包括至少部分利用无线技术的各点(例如节点)之间的任何通信模式,且无线技术包括各种协定和与无线传输、数据、以及装置相关联的协定组合。前述各点包括诸如无线耳机的无线装置、诸如音频播放器和多媒体播放器的音频和多媒体装置及设备、电话,包括手机和无线电话、以及计算机和诸如打印机的与计算机相关的装置和组件、诸如电路产生***的经由网络连接的机械、及/或任何其他合适的装置或第三方装置。
有线通信模式包括利用有线技术的各点之间的任何通信模式,包括各种协定和与有线传输、数据、以及装置相关的协定组合。前述各点包括诸如音频播放器和多媒体播放器的音频和多媒体装置及设备、电话,包括手机和无线电话、以及计算机和诸如打印机的与计算机相关的装置和组件、经由网络连接的机械、及/或任何其他合适的装置或第三方装置。在各种实施方案中,有线通信模式可以根据多个有线协定进行通信。有线协定的实例可以包括通用序列总线(Universal Serial Bus,USB)通信、RS-232、RS-422、RS-423、RS-485序列协定、火线(FireWire)、乙太网络(Ethernet)、光纤通道(Fiber Channel)、MIDI、ATA、序列ATA、PCI Express、T-1(和其变体)、工业标准架构(Industry Standard Architecture,ISA)并行通信、小型计算机***接口(Small Computer System Interface,SCSI)通信或周边组件互连(Peripheral Component Interconnect,PCI)通信(在此仅举几例)。
因此,在各方面,通信接口可以包括一个或多个接口,例如无线通信接口、有线通信接口、网络接口、发送接口、接收接口、媒体接口、***接口、组件接口、开关接口、晶片接口、以及控制器等。当以无线装置或无线***实施时,通信接口可以包括含有一个或多个天线、发射机、接收机、收发机、放大器、滤波器、以及控制逻辑等的无线接口。
在各种实施例中,通信接口可以根据多种无线协定提供语音及/或数据通信功能。无线协定的实例可以包括各种无线区域网络(wireless local area network,WLAN)协定,包括电机电子工程师学会(Institute of Electrical and Electronics Engineers,IEEE)802.xx系列协定,例如IEEE 802.11a/b/g/n、IEEE802.16、以及IEEE 802.20等。无线协定的其他实例可以包括各种无线广域网络(wireless wide area network,WWAN)协定,例如具有GPRS的GSM蜂窝无线电话***协定、具有1xRTT的CDMA蜂窝无线电话通信***、EDGE***、EV-DO***、EV-DV***、以及HSDPA***等。无线协定的进一步实例可以包括无线个人区域网络(wireless personal area network,PAN)协定,例如红外协定、来自蓝牙特殊利益团体(Special Interest Group,SIG)系列协定的协定,包括具有增强数据速率(Enhanced Data Rate,EDR)的蓝芽规格版本v1.0、v1.1、v1.2、v2.0、v2.0、以及一个或多个蓝牙规范等。无线协定的另一实例可以包括近场通信技术和协定,例如电磁感应(electromagnetic induction,EMI)技术。电磁感应技术的实例可以包括被动或主动射频辨识(radio-frequency identification,RFID)协定和装置。其他合适的协定可以包括超宽频(Ultra Wide Band,UWB)、数字办公室(Digital Office,DO)、数字家庭、可信赖平台模组(Trusted Platform Module,TPM)、以及ZigBee等。
在一些实施例中,***300的控制电路314可以包括***总线,且此***总线耦合包括处理器、记忆体、以及输入/输出接口的各种***组件。***总线可以是以下几种类型的总线结构中的任何一种,包括记忆体总线或记忆体控制器、周边总线或外部总线、及/或使用各种可用总线结构的局部总线,包括但不限于9位总线(9-bit bus)、工业标准架构(Industrial Standard Architecture,ISA)、微通道架构(Micro-Channel Architecture,MCA)、扩充型工业标准架构(Extended ISA,EISA)、智能驱动机(Intelligent DriveElectronics,IDE)、VESA区域总线(VESA Local Bus,VLB)、个人计算机记忆体卡国际协会(Personal Computer Memory Card International Association,PCMCIA)总线、小型计算机***接口(Small Computer System Interface,SCSI)或其他专用总线,或者适用于计算装置应用的任何订制总线。
图4A绘示根据本揭示内容的各种实施例的在线处理控制方法400的流程图。方法400从操作402开始,具有来自第一图案化制程(例如微影)的至少一个图案的第一晶圆被在线临界尺寸检查站所接收。
方法400继续进行到操作404,于在线临界尺寸检查站中进行第一临界尺寸检查(例如显影后检查-临界尺寸检查),并且产生第一晶圆的第一临界尺寸图。第一晶圆的第一临界尺寸图可以使用临界尺寸-扫描电子显微镜,通过从第一晶圆上的多个测试位置获得多个电子显微图像,并通过测量多个电子显微图像中的至少一个图案的多个临界尺寸值来产生。测试位置的数量可由使用者指定。第一临界尺寸图可以提供在第一图案化制程(例如微影)之后的第一晶圆上的精确的位置相关临界尺寸值。在一些实施例中,在线临界尺寸检查站可以根据特征的大小进行光学检查。
方法400继续进行到操作406,由在线临界尺寸检查站创建的第一临界尺寸图被传送至远程计算机资源,并且第一晶圆从在线临界尺寸检查站传送至电浆处理站。
方法400继续进行到操作408,第一临界尺寸图由远程计算机资源进一步分析以配置第一温度分布图和第一电浆处理配置。电浆处理配置可包括用于电浆处理站的多个配置参数,包括第一蚀刻时间和第一电浆处理条件,其中第一电浆处理条件可以包括第一压力、第一压力分布、第一电浆功率、以及温度的第一时间响应等。
方法400继续进行到操作410,第一温度分布图和第一电浆处理配置从远程计算机资源传输至与电浆处理站相关联的区域计算机。在一些实施例中,区域计算机可以通过有线或无线网络连接件从远程计算机接收第一温度分布图。在某些实施例中,关键装置参数也可被传输至区域计算机。根据第一晶圆的第一温度分布图,区域计算机可独立地设定晶圆台上的多个温度控制元件以通过温度控制电路将第一晶圆保持在指定的温度值。在操作410中,区域计算机还根据第一电浆处理配置设定电浆处理腔室,包括蚀刻时间、压力、压力分布、电浆功率、以及温度的时间响应等。
方法400继续进行到操作412和414,第一晶圆在电浆处理站中被蚀刻,并在临界尺寸检查站中再次被检查,以获得第二临界尺寸图。根据某些实施例,接着,准备将第一晶圆传送至下一个处理站或储存站。然后可将来自第一图案化制程的第二晶圆装载至临界尺寸检查站。
当在电浆蚀刻***中处理第二晶圆并且第二晶圆可能具有与第一晶圆的第一临界尺寸图不同的第二晶圆的第三临界尺寸图时,基于本揭示内容在此所描述的示例性方法,远程计算机资源确定第二温度分布图和第二电浆处理配置,以在电浆蚀刻制程之后于第二晶圆上的第四临界尺寸图中达到期望的均匀性。区域计算机根据第二电浆处理配置,进一步设定多个温度控制元件以提供第二温度分布图和准备用于蚀刻第二晶圆的电浆处理腔室。
在一些实施例中,可以使用诸如反射光谱仪或光谱椭偏仪等技术所测量的第一制程的晶圆的第一厚度图来代替第一临界尺寸图。类似地,第一厚度图可作为第二制程中的多个温度控制元件的前馈,例如但不限于电浆增强化学气相沉积和电浆增强原子层沉积。与蚀刻速率类似,成长速率也可以通过根据第一厚度图产生的温度分布图来局部地调整,从而可补偿第二制程之后晶圆的第二厚度图中的不均匀性。在一些实施例中,厚度图也可以作为化学机械平面化(chemical mechanical planarization,CMP)制程的前馈用以设定多个温度控制元件。
图4B绘示根据本揭示内容的各种实施例的在线处理控制方法420的流程图。操作402~414与方法400中的操作相同。方法420继续进行到操作414,进行第二临界尺寸检查并产生第一晶圆的第二临界尺寸图。第一晶圆的第二临界尺寸图可由与用于获得第一临界尺寸图的相同的在线临界尺寸检查站产生。在一些实施例中,操作414中的多个测试位置与第一临界尺寸检查中使用的相同。
方法420继续进行到操作416和408,由在线临界尺寸检查站所产生的第一晶圆上的多个测试位置处的第二临界尺寸图被传送至远程计算机资源并由远程计算机资源分析,其中远程计算机资源基于第一和第二临界尺寸图来确定第二温度分布图和第二电浆处理配置。
在一些实施例中,来自不同电浆处理站的晶圆的第一和第二临界尺寸图可储存于数据库中,例如储存于远程计算机资源中。资料探勘和数据分析技术可以用来***性地探讨不同电浆处理站的温度控制和电浆处理行为的差异。与每个电浆处理站相关的独特的温度控制和电浆处理行为也可以通过机器学习来研究,并且最终被考虑用于在使用不同的电浆处理站时确定温度分布图和电浆处理配置。
图5绘示根据本揭示内容的各种实施例的使用本在线处理控制方法和***所改进的临界尺寸均匀性的示例性数据500。应注意的是,示例性数据500及其操作仅仅是示例,并不旨在限制本揭示内容。因此,应理解,可以在操作之前、之间、以及之后提供额外的操作,并且一些其他的操作可以仅在此简要地描述。
晶圆501上的第一临界尺寸图(例如显影后检查临界尺寸图)502通过在临界尺寸检查站503中检查晶圆表面而获得。由此可以看出,分布于整个晶圆表面上的临界尺寸具有非均匀性,其中晶圆501的表面中心的临界尺寸值较高。晶圆501接着被传送至具有用于电浆蚀刻处理的多个温度控制元件505的电浆处理站506中的晶圆台。晶圆台表面上的每个温度控制元件505上的温度设定由第一温度分布图507所配置。第一温度分布图507与第一电浆处理配置的确定是基于第一临界尺寸图502。温度分布图507被用于调整晶圆501表面上的局部温度,从而调整蚀刻速率。使用临界尺寸检查站可以获得电浆蚀刻制程之后的晶圆501上的第二临界尺寸图508。相较于第一临界尺寸图502,第二临界尺寸图508显示晶圆501的整个表面具有相当均匀的临界尺寸值。因此,通过在电浆蚀刻制程期间,创建晶圆501上的第一温度分布图507,可以补偿第一临界尺寸图502中的不均匀性。
在一实施例中,一种在线处理控制方法,包括:进行一第一晶圆上的一临界尺寸检查;产生第一晶圆的一第一临界尺寸图;基于第一晶圆的第一临界尺寸图来确定一第一温度分布图和一第一电浆处理配置,其中第一电浆处理配置包括一第一蚀刻时间和一第一电浆处理条件;以及使用第一电浆处理配置来配置用于处理第一晶圆的一电浆蚀刻制程。
在一实施例中,第一临界尺寸图是通过一临界尺寸-扫描电子显微镜来产生。
在一实施例中,确定第一电浆处理配置以于第一晶圆上执行电浆蚀刻制程之后,最小化第一晶圆的一第二临界尺寸图中的不均匀性。
在一实施例中,第一温度分布图被用于配置一晶圆台上的多个温度控制元件以于电浆蚀刻制程中保持第一晶圆。
在一实施例中,温度控制元件中的每一者包括:一加热元件、一冷却元件、以及一感测元件中的至少一个。
在一实施例中,第一电浆处理条件包括:一电浆功率、一压力、一压力分布、以及一温度斜坡轮廓中的至少一个。
在一实施例中,在线处理控制方法,进一步包括:从一第一图案化制程接收第一晶圆;传送第一临界尺寸图至一远程计算机资源;基于一第三临界尺寸图产生用于处理一第二晶圆的一第二温度分布图和一第二电浆处理配置;其中响应于第一临界尺寸图与第三临界尺寸图之间的差异,第二晶圆的第二温度分布图不同于第一晶圆的第一温度分布图,其中第二电浆处理配置包括一第二蚀刻时间和一第二电浆处理条件。
在另一实施例中,一种在线处理控制***,包括:一图案化处理站;一在线临界尺寸检查站,其中在线临界尺寸检查站配置以自动地收集在第一晶圆上的至少一图案的至少一临界尺寸值以产生一第一临界尺寸图;以及一电浆处理站,其中电浆处理站包括多个温度控制元件一于晶圆台上,其中温度控制元件是基于第一临界尺寸图来配置。
在一实施例中,图案化处理站配置以在第一晶圆上产生至少一图案。
在一实施例中,图案化处理站配置以在一微影制程中执行至少一步骤。
在一实施例中,在线处理控制***,进一步包括一远程计算机资源,其中远程计算机资源配置以从在线临界尺寸检查站接收第一晶圆的第一临界尺寸图;基于第一临界尺寸图产生用于在电浆处理站中处理第一晶圆的一第一温度分布图和一第一电浆处理配置;以及传送第一温度分布图和第一电浆处理配置至电浆处理站。
在一实施例中,第一电浆处理配置最小化一第二临界尺寸图中的一不均匀性,其中第二临界尺寸图是于一电浆蚀刻制程之后于第一晶圆上确定的,并且第一电浆处理配置包括一第一蚀刻时间和一第一电浆处理条件。
在一实施例中,根据第一电浆处理配置而配置电浆处理站以执行电浆蚀刻制程于第一晶圆上。
在一实施例中,温度控制元件被单独配置以产生晶圆台上的第一温度分布图,从而在电浆蚀刻制程中保持第一晶圆。
在一实施例中,温度控制元件中的每一者包括:一加热元件、一冷却元件、以及一感测元件中的至少一个。
此外,在另一实施例中,一种在线处理控制***,包括:一第一处理站,其中第一处理站配置以产生至少一特征于一半导体晶圆上;一检查站,其中检查站配置以产生半导体晶圆上的至少一特征的一第一临界尺寸图;以及一第二处理站,其中第二处理站配置以提供一第二处理于半导体晶圆上,并且第二处理站包括的多个温度控制元件于一晶圆台上。
在一实施例中,第一临界尺寸图是通过一临界尺寸-扫描电子显微镜来产生。
在一实施例中,检查站配置以在第二处理之后产生一第二临界尺寸图。
在一实施例中,在线处理控制***,进一步包括一远程计算机,其中远程计算机配置以基于第一临界尺寸图来产生一第一温度分布图;从检查站接收第二临界尺寸图;基于第一临界尺寸图和第二临界尺寸图来产生一第二温度分布图和一第二电浆处理配置;以及传送第二温度分布图和第二电浆处理配置至第二处理站,其中第二温度分布图被用于配置晶圆台上的温度控制元件以在第二处理中保持半导体晶圆。
在一实施例中,温度控制元件被单独配置以产生晶圆台上的第一温度分布图和第二温度分布图。
在一实施例中,温度控制元件中的每一者包括一加热元件和一感测元件。
上文概述若干实施例的特征,使得熟悉此项技术者可更好地理解本揭露的态样。熟悉此项技术者应了解,可轻易使用本揭露作为设计或修改其他制程及结构的基础,以便实施本文所介绍的实施例的相同目的及/或实现相同优势。熟悉此项技术者亦应认识到,此类等效结构并未脱离本揭露的精神及范畴,且可在不脱离本揭露的精神及范畴的情况下产生本文的各种变化、替代及更改。

Claims (1)

1.一种在线处理控制方法,其特征在于,包括:
进行一第一晶圆上的一临界尺寸检查;
产生该第一晶圆的一第一临界尺寸图;
基于该第一晶圆的该第一临界尺寸图来确定一第一温度分布图和一第一电浆处理配置,其中该第一电浆处理配置包括一第一蚀刻时间和一第一电浆处理条件;以及
使用该第一电浆处理配置来配置用于处理该第一晶圆的一电浆蚀刻制程。
CN201810716831.7A 2017-11-28 2018-07-03 在线处理控制方法 Pending CN109841538A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762591323P 2017-11-28 2017-11-28
US62/591,323 2017-11-28
US15/904,105 2018-02-23
US15/904,105 US20190164852A1 (en) 2017-11-28 2018-02-23 System and method for in-line processing control

Publications (1)

Publication Number Publication Date
CN109841538A true CN109841538A (zh) 2019-06-04

Family

ID=66632674

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810716831.7A Pending CN109841538A (zh) 2017-11-28 2018-07-03 在线处理控制方法

Country Status (3)

Country Link
US (1) US20190164852A1 (zh)
CN (1) CN109841538A (zh)
TW (1) TW201927078A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11204897B2 (en) 2018-10-31 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Importing and exporting circuit layouts
JP2022542093A (ja) * 2019-07-25 2022-09-29 ラム リサーチ コーポレーション 基板処理システムにおける不均一性のin situリアルタイム感知および補償

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6960416B2 (en) * 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
US8852964B2 (en) * 2013-02-04 2014-10-07 Lam Research Corporation Controlling CD and CD uniformity with trim time and temperature on a wafer by wafer basis

Also Published As

Publication number Publication date
TW201927078A (zh) 2019-07-01
US20190164852A1 (en) 2019-05-30

Similar Documents

Publication Publication Date Title
TWI409657B (zh) 閘極最佳化評估程式庫之產生方法與儲存媒體
US7939450B2 (en) Method and apparatus for spacer-optimization (S-O)
US7127358B2 (en) Method and system for run-to-run control
JP5636486B2 (ja) 多層/多入力/多出力(mlmimo)モデル及び当該モデルの使用方法
US10579041B2 (en) Semiconductor process control method
US7713758B2 (en) Method and apparatus for optimizing a gate channel
US11056322B2 (en) Method and apparatus for determining process rate
US7765077B2 (en) Method and apparatus for creating a Spacer-Optimization (S-O) library
CN107403736A (zh) 终点检测的蚀刻计量灵敏度
JP2010041051A (ja) 金属ゲート構造への多層/多入力/多出力(mlmimo)モデルの使用方法
Zhu et al. Compact high-gain Si-imprinted THz antenna for ultrahigh speed wireless communications
US20170084426A1 (en) Apparatus for determining process rate
CN109841538A (zh) 在线处理控制方法
CN115950859B (zh) 根据膜厚检测分辨率判断反射谱分辨率极限的方法及***
JP5022708B2 (ja) 現場基板温度モニター法及び装置
TW202220075A (zh) 基板測量子系統
TW202221817A (zh) 用來改進製造製程效能的集成式基板測量系統
CN106062930B (zh) 表面平坦化***和方法
CN106876236A (zh) 监测等离子体工艺制程的装置和方法
US20210142991A1 (en) Apparatus with optical cavity for determining process rate
CN109841540A (zh) 新式气体喷射器、电浆处理***及电浆处理方法
Collison et al. 450mm etch process development and process chamber evaluation using 193i DSA guided pattern
Freed Wafer-mounted sensor arrays for plasma etch processes
Yakovlev et al. Compact FTIR wafer-state sensors: a new way of in-line ULSI characterization
Khargonekar et al. Intelligent Electronics Manufacturing: Modeling and Control of Plasma Processing

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20190604