CN109755123A - 等离子体蚀刻方法 - Google Patents

等离子体蚀刻方法 Download PDF

Info

Publication number
CN109755123A
CN109755123A CN201811317005.1A CN201811317005A CN109755123A CN 109755123 A CN109755123 A CN 109755123A CN 201811317005 A CN201811317005 A CN 201811317005A CN 109755123 A CN109755123 A CN 109755123A
Authority
CN
China
Prior art keywords
film
plasma
mask
gas
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201811317005.1A
Other languages
English (en)
Other versions
CN109755123B (zh
Inventor
胜沼隆幸
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to CN202310912618.4A priority Critical patent/CN116705602A/zh
Publication of CN109755123A publication Critical patent/CN109755123A/zh
Application granted granted Critical
Publication of CN109755123B publication Critical patent/CN109755123B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Manufacturing Of Printed Circuit Boards (AREA)

Abstract

本发明所要解决的课题在于:避免由于从含金属掩模飞散的金属引起的蚀刻停止。解决课题的方法在于:等离子体蚀刻方法包括:保护膜形成工序,其利用第一处理气体,对形成于蚀刻对象膜上的具有规定的开口图案的含金属膜形成保护膜;和蚀刻工序,其将形成有保护膜的含金属膜作为掩模,利用第二处理气体的等离子体对蚀刻对象膜进行蚀刻。

Description

等离子体蚀刻方法
技术领域
本发明的各方面和实施方式涉及等离子体蚀刻方法。
背景技术
现有技术中,存在将含金属膜作为掩模来对蚀刻对象膜进行蚀刻的技术。例如,存在如下技术:隔着由含金属膜形成的掩模,利用包含CF4的处理气体的等离子体,对作为蚀刻对象膜的SiO2膜进行蚀刻。另外,还存在如下技术:使用包含CH2F2/N2的气体等的蚀刻气体来对Low-k膜进行蚀刻。
现有技术文献
专利文献
专利文献1:日本特开2003-282539号公报
专利文献2:日本特开2017-98323号公报
发明内容
发明所要解决的技术问题
然而,在上述的现有技术中,由于蚀刻从含金属掩模飞散的金属附着在掩模下层的蚀刻对象膜上,因此形成的凹部的形状劣化。例如,通过蚀刻所形成的凹部的关键尺寸(Critical Dimension,CD)缩小,通过蚀刻形成的凹部的侧壁成为锥形状,或者阻碍蚀刻。其结果,在上述的现有技术中,可能会发生蚀刻停止。
解决技术问题的方法
关于本发明的等离子体蚀刻方法,在一个实施方式中,包括:保护膜形成工序,其利用第一处理气体,对形成于蚀刻对象膜上的具有规定的开口图案的含金属膜形成保护膜;和蚀刻工序,其将形成有所述保护膜的所述含金属膜作为掩模,利用第二处理气体的等离子体对所述蚀刻对象膜进行蚀刻。
另外,关于本发明的等离子体蚀刻方法,在一个实施方式中,包括:蚀刻工序,其将形成于蚀刻对象膜上的具有规定的开口图案的含金属膜作为掩模,利用处理气体的等离子体对所述蚀刻对象膜进行蚀刻;和高频电力施加工序,其在生成所述处理气体的等离子体的期间,间歇地施加用于引入所述等离子体中的离子的高频电力。
发明效果
根据本发明的等离子体蚀刻方法的一个方式,发挥能够避免由于从含金属掩模飞散的金属引起的蚀刻停止的效果。
附图说明
图1是表示第一实施方式的等离子体蚀刻装置的纵截面的一个例子的图。
图2是表示第一实施方式中的由等离子体蚀刻装置进行的等离子体蚀刻处理的流程的一个例子的流程图。
图3是表示比较例1和实施例1的处理结果的图。
图4是表示第二实施方式中的由等离子体蚀刻装置进行的等离子体蚀刻处理的流程的一个例子的流程图。
图5是表示本实施方式的高频电力施加工序的一个例子的图。
图6是表示比较例2和实施例2的处理结果的图。
附图标记说明
1 等离子体蚀刻装置
10 腔室
15 气体供给源
20 载置台
25 气体喷头
32 第一高频电源
34 第二高频电源
52 可变直流电源
65 排气装置
100 控制部
具体实施方式
下面,参照附图,对各种实施方式进行详细说明。此外,在各附图中,对相同或者相当的部分标注相同的附图标记。
(第一实施方式)
首先,根据图1对第一实施方式的等离子体蚀刻装置进行说明。
图1是表示第一实施方式的等离子体蚀刻装置的纵截面的一个例子的图。
本实施方式的等离子体蚀刻装置1是在腔室10内相对地配置有载置台20和气体喷头25的平行平板型的等离子体蚀刻装置(电容耦合型等离子体蚀刻装置)。载置台20具有保持被处理体的一个例子即半导体晶片(下面简单地称为“晶片W”。)的功能,并且作为下部电极发挥作用。气体喷头25具有以喷淋状向腔室10内供给气体的功能,并且作为上部电极发挥作用。
腔室10由例如表面经铝阳极化处理(阳极氧化处理)的铝形成且为圆筒形。腔室10电接地。载置台20设置于腔室10的底部,载置晶片W。
载置台20由例如铝(Al)、钛(Ti)、碳化硅(SiC)等形成。在载置台20的上表面设置有用于对晶片W进行静电吸附的静电卡盘106。静电卡盘106构成为在绝缘体106b中间夹着卡盘电极106a。
卡盘电极106a与直流电压源112连接,从直流电压源112向卡盘电极106a供给直流电压。由此,利用库仑力将晶片W吸附到静电卡盘106。
在静电卡盘106(晶片W)的周围配置有用于提高蚀刻的均匀性的导电性的聚焦环106c。聚焦环106c例如由硅形成。
载置台20被支承体104支承。在支承体104的内部形成有制冷剂流路104a。制冷剂流路104a与制冷剂入口配管104b和制冷剂出口配管104c连接。从冷却器(chiller)107输出的冷却水或者盐水(brine)等的冷却介质在制冷剂入口配管104b、制冷剂流路104a和制冷剂出口配管104c中循环。由此,能够对载置台20和静电卡盘106进行冷却。
导热气体供给源85通过气体供给线路130向静电卡盘106上的晶片W的背面供给氦气(He)、氩气(Ar)等的导热气体。根据上述结构,静电卡盘106利用在制冷剂流路104a中循环的冷却介质和向晶片W的背面供给的导热气体来进行温度控制。
载置台20与用于供给双频叠加电力的电力供给装置30连接。电力供给装置30具有:用于供给第一频率的第一高频电力HF(用于生成等离子体的高频电力)的第一高频电源32;和用于供给低于第一频率的第二频率的第二高频电力LF(用于产生偏置电压的高频电力)的第二高频电源34。第一高频电源32经由第一匹配器33与载置台20电连接。第二高频电源34经由第二匹配器35与载置台20电连接。第一高频电源32对载置台20施加例如40MHz的第一高频电力HF。第二高频电源34对载置台20施加例如13MHz的第二高频电力LF。第二高频电力LF是用于引入等离子体中的离子的高频电力,也被称为“偏置电力”。此外,在本实施方式中,第一高频电力HF被施加到载置台20,但也可以施加到上部电极(气体喷头25)。
第一匹配器33使负载阻抗与第一高频电源32的内部(或者输出)阻抗相匹配。第二匹配器35使负载阻抗与第二高频电源34的内部(或者输出)阻抗相匹配。第一匹配器33发挥如下作用:在腔室10内生成等离子体时使第一高频电源32的内部阻抗与负载阻抗表观上一致。第二匹配器35发挥如下作用:在腔室10内生成等离子体时使第二高频电源34的内部阻抗与负载阻抗表观上一致。
气体喷头25由含硅物质例如硅形成,以隔着覆盖其周边部的屏蔽环(shieldring)40来封闭腔室10的顶部的开口的方式进行安装。
气体喷头25经由低通滤波器51(LPF)与可变直流电源52电连接。可变直流电源52以负极在气体喷头25侧的方式连接,对气体喷头25施加负的直流电压。来自可变直流电源52的供电能够通过闭合/断开开关(ON/OFF switch)53进行闭合/断开。低通滤波器51对来自第一高频电源32和第二高频电源34的高频进行滤波,优选由LR滤波器或者LC滤波器构成。此外,气体喷头25可以不与可变直流电源52电连接,而电接地。
在气体喷头25形成有用于导入气体的气体导入口45。在气体喷头25的内部设置有从气体导入口45分支的中心侧的扩散室50a和边缘侧的扩散室50b。从气体供给源15输出的气体经由气体导入口45向扩散室50a、50b供给,在各扩散室50a、50b中扩散而从多个气体供给孔55导向载置台20。
在腔室10的底面形成有排气口60,利用与排气口60连接的排气装置65对腔室10内进行排气。由此,能够将腔室10内维持在规定的真空度。在腔室10的侧壁设置有闸阀G。通过闸阀G的打开、关闭,将晶片W从腔室10搬入和搬出。
在等离子体蚀刻装置1中设置有用于控制装置整体的动作的控制部100。控制部100具有CPU(Central Processing Unit)105、ROM(Read Only Memory)110和RAM(RandomAccess Memory)115等。CPU105依照存储于这些存储区域的各种方案(recipe),来执行后述的等离子体蚀刻等所期望的处理。在方案中记录有与工艺条件相对应的装置的控制信息、即处理时间、压力(气体的排气)、高频电力、电压、各种气体流量、腔室内温度(上部电极温度、腔室的侧壁温度、静电卡盘温度等)、冷却器107的温度等。此外,表示这些程序和处理条件的方案可以存储在硬盘(hard disk)或者半导体存储器中。另外,方案也可以以收纳在CD-ROM、DVD等便携式的能够由计算机读取的存储介质的状态下设置在存储区域的规定位置。
例如,控制部100对等离子体蚀刻装置1的各部进行控制,以进行后述的等离子体蚀刻处理。举一个详细的例子,控制部100使等离子体蚀刻装置1的各部执行保护膜形成工序,该保护膜形成工序对形成于蚀刻对象膜上的具有规定的开口图案的含金属膜,利用第一处理气体形成保护膜。然后,控制部100使等离子体蚀刻装置1的各部执行蚀刻工序,该蚀刻工序将形成有保护膜的含金属膜作为掩模,利用第二处理气体的等离子体对蚀刻对象膜进行蚀刻。此外,在此,在晶片W上从下开始依次层叠有蚀刻对象膜和含金属膜。另外,保护膜形成工序和蚀刻工序可以至少交替地重复进行2次以上。
(第一实施方式中的等离子体蚀刻方法)
图2是表示第一实施方式中的由等离子体蚀刻装置进行的等离子体蚀刻处理的流程的一个例子的流程图。如下所说明的那样,等离子体蚀刻装置1对依次层叠有蚀刻对象膜和具有规定的开口图案的含金属膜的晶片W执行一系列的处理。
此外,在此,蚀刻对象膜例如为氧化硅膜(例如SiO2膜)、Low-k膜、氮化硅膜(例如Si3N4膜)或者多晶硅膜。Low-k膜例如为SiOC膜或者SiOCH膜。另外,含金属膜例如为WC膜或者TiN膜。
如图2所示,等离子体蚀刻装置1对工序的重复进行所使用的计数用的变量m和重复次数用的变量m0设定初始值。等离子体蚀刻装置1例如将变量m设定为“1”,将变量n设定为作为规定值的如“10”(工序S101)。此外,设定为变量m0的规定值可以为任意的值。例如当规定值为“1”时,保护膜形成工序和蚀刻工序进行一次,不重复进行工序。变量m0可以通过预备实验等来计算。另外,变量m0也可以通过测量蚀刻对象膜的厚度来决定。
接着,等离子体蚀刻装置1进行对含金属膜利用第一处理气体的等离子体形成保护膜的保护膜形成工序(工序S102)。第一处理气体包含例如C4F6、C4F8、C5F8、CHF3、CH2F2或者CH4。第一处理气体优选还包含稀有气体。稀有气体为例如Ar。另外,第一处理气体可以为含有C和O的气体。含有C和O的气体可以为例如CO、CO2。另外,第一处理气体还可以包含含有O的气体。
举一个更详细的例子来进行说明。等离子体蚀刻装置1的控制部100对气体供给源15进行控制,向腔室10内供给包含CF系气体、含有C和O的气体、稀有气体和含O气体的第一处理气体。例如,控制部100对气体供给源15进行控制,向腔室10内供给作为第一处理气体的C4F6/CO/Ar/O2。此外,控制部100对第一高频电源32进行控制以施加等离子体生成用的第一高频电力HF,并且对第二高频电源34进行控制以施加离子引入用的第二高频电力LF。此时,控制部100可以进行控制,以将气体喷头25与可变直流电源52连接来施加规定的直流电压。由此,碳氟化合物(CF)系的堆积物作为保护膜形成在含金属膜上。
接着,等离子体蚀刻装置1进行蚀刻工序,该蚀刻工序将形成有保护膜的含金属膜作为掩模,利用第二处理气体的等离子体对蚀刻对象膜进行蚀刻(工序S103)。第二处理气体根据蚀刻对象膜的种类而不同。在蚀刻对象膜为氧化硅膜、Low-k膜或者氮化硅膜的情况下,第二处理气体可以包含F相对于C的比例比第一处理气体包含的CF系气体大的CF系气体,例如CF4或者C2F6。另外,在蚀刻对象膜为多晶硅膜的情况下,第二处理气体可以包含例如Cl2或者NF3。第二处理气体还可以包含稀有气体。
举一个更详细的例子来进行说明。等离子体蚀刻装置1的控制部100对气体供给源15进行控制,向腔室10内供给第二处理气体,该第二处理气体包含F相对于C的比例比第一处理气体包含的CF系气体大的CF系气体。例如,控制部100对气体供给源15进行控制,向腔室10内供给作为第二处理气体的CF4/H2/N2。此外,控制部100对第一高频电源32进行控制以施加等离子体生成用的第一高频电力HF,对第二高频电源34进行控制以施加离子引入用的第二高频电力LF。此时,控制部100可以对与上部电极(气体喷头25)连接的可变直流电源52进行控制以施加规定的直流电压。由此,对蚀刻对象膜进行蚀刻以形成凹部。作为凹部,可以举出例如槽或者孔。
接着,等离子体蚀刻装置1判断是否将保护膜形成工序和蚀刻工序只重复预先设定的次数。即,等离子体蚀刻装置1判断变量m是否在变量m0以上(工序S104)。等离子体蚀刻装置1在变量m小于变量m0的情况下(工序S104:No,否),使变量m增加1(工序S105),将处理返回工序S102而重复保护膜形成工序和蚀刻工序。另一方面,等离子体蚀刻装置1在变量m为变量m0以上的情况下(工序S104:Yes,是),结束处理。
(第一实施方式的效果)
上面,根据第一实施方式,利用第一处理气体的等离子体,对形成于蚀刻对象膜上的具有规定的开口图案的含金属膜形成保护膜,将形成有保护膜的含金属膜作为掩模,利用第二处理气体的等离子体来对蚀刻对象膜进行蚀刻。由此,利用保护膜保护含金属膜,因此能够抑制金属从含金属膜飞散和金属附着在蚀刻对象膜上。因此,能够避免由于金属而阻碍蚀刻的情况。结果,能够改善利用使用了含金属掩模的蚀刻而形成的凹部的形状。
此外,在上述第一实施方式中,例示了执行保护膜形成工序和蚀刻工序的例子,不过本发明的技术不限于此。例如,还可以执行图案形成工序,该图案形成工序在保护膜形成工序之前将具有规定的开口图案的掩模膜作为掩模,在形成于蚀刻对象膜上的含金属膜上形成规定的开口图案。掩模膜可以为由例如氧化硅膜、氮化硅膜、SiON膜、SiC膜或者SiOC膜等形成的硬掩模。
另外,在具有规定的开口图案的含金属膜上形成有掩模膜的情况下,在保护膜形成工序之前,还可以执行如下的预蚀刻工序:将掩模膜作为掩模,对蚀刻对象膜进行蚀刻,直到含金属膜的上表面的至少一部分露出,或者直到含金属膜的上表面的至少一部分即将露出。此时,在预蚀刻工序中,优选掩模膜的蚀刻速率低于蚀刻对象膜的蚀刻速率。由此,由于能够进行蚀刻对象膜的蚀刻直到含金属膜的上表面露出,因此能够提高蚀刻的生产率(through-put)。此外,可以在相同的腔室中不破坏真空地执行蚀刻工序和预蚀刻工序,也可以在不同的腔室中执行。
另外,在上述第一实施方式中,可以对作为上部电极的气体喷头25施加直流电压DC。由此,能够对沉积速率(堆积速率)进行控制。直流电压DC可以为负的直流电压DC。另外,在上述第一实施方式中,可以改变直流电压DC的大小。另外,在上述第一实施方式中,第二高频电力LF可以为连续波,也可以为脉冲波。当第二高频电力LF为连续波时,蚀刻对象膜的蚀刻速率提高。当第二高频电力LF为脉冲波时,能够减小对掩模的损伤,抑制晶片W的温度上升。另外,当第二高频电力LF为脉冲波时,可以对第二高频电力LF进行闭合/断开(ON/OFF)控制,也可以在高(High)值与低(Low)值之间调制第二高频电力LF。另外,在上述第一实施方式中,可以改变第二高频电力LF的频率和/或第二高频电力LF的电力值(功率)。由此,能够对离子能量进行控制而对蚀刻速率进行调整。另外,在上述第一实施方式中,也可以根据蚀刻深度(从蚀刻开始的时间),改变第二高频电力LF的频率和/或第二高频电力LF的电力值。另外,在上述第一实施方式中,可以对晶片W的温度进行控制。例如,在上述第一实施方式中,可以降低晶片W的温度并保持(20~60℃程度),使堆积量增加而金属的飞散量减少。
另外,在上述第一实施方式中,例示了对于依次层叠有蚀刻对象膜和具有规定的开口图案的含金属膜的晶片W执行一系列处理的例子,不过晶片W的结构不限于此。例如,在含金属膜上,可以残留有在含金属膜上形成规定的开口图案时使用的掩模膜。
另外,在上述第一实施方式中,例示了利用包含各自流量一定的CF系气体、含有C和O的气体、稀有气体和含O气体的第一处理气体的等离子体,在含金属膜上形成保护膜的例子,不过本发明的技术不限于此。例如,可以使CF系气体的流量一定,使含有C和O的气体(例如,CO)的流量变化。若增加CO,则容易引起由CF系气体+CO→COF+CF自由基所表示的反应,因此C比高的自由基增加,自由基对含金属膜的附着量增加。另一方面,若CO的流量减少或者为零,则C比高的自由基减少,自由基对含金属膜的附着量减少(凹部的堆积量增加)。另外,在上述第一实施方式中,可以根据第二高频电力LF的频率、第二高频电力LF的大小,来改变CO的流量。例如,认为当第二高频电力LF的频率下降时,CO相对于第一处理气体整体的流量比增加。另外,例如,认为当第二高频电力LF的电力值上升时,CO相对于第一处理气体整体的流量比增加。由此,由于含金属膜进一步被保护,因此能够进一步抑制在进行高纵横比的蚀刻时从含金属膜掩模飞散金属。另外,在上述第一实施方式中,可以使含有C和O的气体的流量一定,来改变CF系气体的流量。
另外,在上述第一实施方式中,例示了利用包含CF系气体的第一处理气体的等离子体将CF系堆积物作为保护膜形成在含金属膜上的例子,不过本发明的技术不限于此。例如,也可以利用包含含碳气体的第一处理气体的等离子体将含碳堆积物作为保护膜形成在含金属膜上。含碳气体例如为CH4或者C3H6。另外,也可以利用例如包含含硅气体的第一处理气体的等离子体将含硅堆积物作为保护膜形成在含金属膜上。含硅气体例如为SiCl4或者SiF4
另外,在上述实施方式中,作为将保护膜形成在含金属膜上的方法,使用了等离子体增强化学气相沉积(PECVD:Plasma Enhanced Chemical Vapor Deposition)法,不过本发明的技术不限于此。例如,也可以使用原子层堆积(ALD:Atomic Layer Deposition)法。在ALD法中,通过重复形成使气体吸附的吸附层和对该吸附层进行改质来成膜。例如,通过将含硅的前体气体供给到腔室10内,在含金属膜上吸附前体气体的原子或者分子。接着,利用供给到腔室10内的吹扫气体,去除未吸附的前体气体和吸附在含金属膜上的多余的前体气体的原子或者分子。然后,将改质气体(例如,含氧的反应气体或者含氮气体)供给到腔室10内,生成反应气体的等离子体,由此吸附有前体气体的原子或者分子的吸附层被暴露于反应气体的活性种中。由此,在含金属膜上形成含硅膜。在ALD法中,将包括这样的吸附工序和反应工序的循环重复多次,由此前体气体所包含的原子或者分子的膜在含金属膜上一层层层叠。
另外,也可以不使用等离子体而将保护膜形成在含金属膜上。作为一个例子,可以通过热CVD使保护膜成膜,也可以通过热ALD形成保护膜。例如,在热ALD中,在使气体吸附而形成吸附层之后,对该吸附层进行加热以进行改质来形成保护膜。例如,可以在使含碳或者含硅的前体气体吸附到含金属膜之后,对该含金属膜进行加热以形成保护膜。将含金属膜在例如400℃以下的温度进行加热。可以在使该前体气体吸附到含金属膜之后,对吸附层进行加热之前,利用不活性气体对未吸附的气体和过剩吸附的气体进行吹扫。
(实施例)
下面,举出实施例,对第一实施方式的等离子体蚀刻方法进行更详细的说明。但是,第一实施方式的等离子体蚀刻方法不限于下述的实施例。
(比较例1)
在比较例1中,对模拟晶片W的被处理体进行了蚀刻工序。作为被处理体,使用了具有以下结构的物质。另外,被处理体配置于形成有SiO2膜的测试用基板上,在测试用基板的被处理体的周围配置有WC片(chip)。利用下面的条件,进行了蚀刻工序。
(被处理体)
蚀刻对象膜:SiO2
含金属膜:WC膜
掩模膜:SiO2
(蚀刻工序)
处理气体和流量:CF4/H2/N2=20/200/200sccm
压力:2.7Pa(20mTorr)
第一高频电力HF:200W,连续波
第二高频电力LF:150W,连续波
向上部电极(气体喷头25)施加的直流电压:-150V,连续波
处理时间:175秒
(实施例1)
在实施例1中,在对模拟晶片W的被处理体进行了保护膜形成工序之后,进行蚀刻工序,并且交替地重复进行了10次保护膜形成工序和蚀刻工序。被处理体使用了具有与比较例1相同结构的物体。另外,被处理体配置于形成有SiO2膜的测试用基板上,在测试用基板的被处理体的周围配置有WC片。利用下面的条件,进行了保护膜形成工序。除利用下面所示的处理时间之外,利用与比较例1相同的条件进行了蚀刻工序。
(保护膜形成工序)
处理气体和流量:C4F6/CO/Ar/O2=10/300/1000/8sccm
压力:4.0Pa(30mTorr)
第一高频电力HF:100W,连续波
第二高频电力LF:200W,连续波
向上部电极(气体喷头25)施加的直流电压:-150W,连续波
处理时间:10秒
(蚀刻工序)
处理时间:10秒
(比较例1和实施例1的处理结果)
图3是表示比较例1和实施例1的处理结果的图。在图3中,对处理前的被处理体、比较例1的进行了蚀刻工序后的被处理体、实施例1的交替地重复了10次保护膜形成工序和蚀刻工序后的被处理体,分别示出了将上表面(Top view:顶视图)和截面(X-section:X截面)放大的照片的跟踪(trace)图。此外,在图3中,“初始(Initial)”表示处理前的被处理体。“蚀刻(As etch)”表示比较例1的进行了蚀刻工序后的被处理体。“重复蚀刻(As cyclicetch)”表示实施例1的交替地重复了10次保护膜形成工序和蚀刻工序后的被处理体。
另外,在图3中,“顶部CD(Top CD)”表示形成有凹部的开口的宽度,“CD偏差(CDbias)”表示凹部的开口的宽度的缩小量。
如图3所示,与未进行保护膜形成工序的比较例1相比较,在进行了保护膜形成工序的实施例1中,凹部的开口的宽度的缩小量变小。另外,与比较例1相比较,在实施例1中,能够使凹部的侧壁的角度更接近垂直。
(第二实施方式)
第二实施方式涉及等离子体蚀刻方法的变更。其中,由于第二实施方式的等离子体蚀刻装置1具有与第一实施方式的等离子体蚀刻装置1相同的结构,因此此处仅说明其与第一实施方式的等离子体蚀刻装置1的不同点。
在第二实施方式的等离子体蚀刻装置1中,控制部100对等离子体蚀刻装置1的各部进行控制,将形成于蚀刻对象膜上的具有规定的开口图案的含金属膜作为掩模,利用具有堆积性的处理气体的等离子体对蚀刻对象膜进行蚀刻。然后,控制部100对等离子体蚀刻装置1的各部进行控制,在生成处理气体的等离子体的期间,间歇地施加用于引入等离子体中的离子的高频电力。此外,此处在晶片W上依次层叠有蚀刻对象膜和含金属膜。
(第二实施方式的等离子体蚀刻方法)
图4是表示第二实施方式的由等离子体蚀刻装置进行的等离子体蚀刻处理的流程的一个例子的流程图。如下所说明的那样,等离子体蚀刻装置1对依次层叠有蚀刻对象膜和具有规定的开口图案的含金属膜的晶片W执行一系列处理。
此外,此处蚀刻对象膜例如为氧化硅膜、Low-k膜、氮化硅膜或者多晶硅膜。Low-k膜例如为SiOC膜或者SiOCH膜。另外,含金属膜例如为WC膜或者TiN膜。
如图4所示,等离子体蚀刻装置1将含金属膜作为掩模,利用具有堆积性的处理气体的等离子体来进行对蚀刻对象膜进行蚀刻的蚀刻工序(工序S201)。具有堆积性的处理气体根据蚀刻对象膜的种类而不同。当蚀刻对象膜为氧化硅膜、Low-k膜或者氮化硅膜时,具有堆积性的处理气体可以包含例如C4F6、C4F8、C5F8、CHF3或者CH2F2。当蚀刻对象膜为多晶硅膜时,具有堆积性的处理气体可以包含例如HBr。另外,具有堆积性的处理气体可以包含含有C和O的气体。含有C和O的气体可以为例如CO、CO2。另外,具有堆积性的处理气体还可以包含含O气体。另外,具有堆积性的处理气体可以包含Ar等的稀有气体。
举一个更详细的例子进行说明。等离子体蚀刻装置1的控制部100例如将包含CF系气体、含有C和O的气体、稀有气体和含O气体的处理气体作为具有堆积性的处理气体,向腔室10内供给。例如,控制部100对气体供给源15进行控制,将C4F6/CO/Ar/O2作为具有堆积性的处理气体向腔室10内供给。此外,控制部100从第一高频电源32施加等离子体生成用的第一高频电力HF,并且从第二高频电源34施加离子引入用的第二高频电力LF。此时,控制部100可以将可变直流电源52与气体喷头25连接以施加规定的直流电压。由此,在腔室10内生成具有堆积性的处理气体的等离子体,利用生成的等离子体对蚀刻对象膜进行蚀刻。
接着,等离子体蚀刻装置1在生成等离子体的期间,进行间歇地施加用于引入等离子体中的离子的高频电力的高频电力施加工序(工序S202)。
图5是表示本实施方式的高频电力施加工序的一个例子的图。等离子体蚀刻装置1的控制部100在生成了处理气体的等离子体的状态下,如图5所示,从第一高频电源32施加等离子体生成用的第一高频电力HF,并且从第二高频电源34间歇地(脉冲状)施加离子引入用的第二高频电力LF。此时,控制部100可以向气体喷头25施加规定的直流电压。在第二高频电源34为断开(OFF)的情况下,与处理气体对应的堆积物在含金属膜上堆积成为保护膜。若将第二高频电源34从断开(OFF)切换为闭合(ON),则等离子体中的离子被引入到蚀刻对象膜,对蚀刻对象膜进行蚀刻。此时,由于含金属膜被堆积物所保护,因此能够抑制金属从含金属膜飞散。
此外,在外,将施加第二高频电力LF的时间设为闭合时间“Ton”,将停止施加第二高频电力LF的时间设为断开时间“Toff”。此时,在高频电力施加工序中,以具有1/(Ton+Toff)的频率的脉冲波来施加第二高频电力LF。另外,在高频电力施加工序中,第二高频电力LF的占空(duty)比由Ton/(Ton+Toff)表示。
此外,在上述高频电力施加工序中,可以根据凹部的深度(从蚀刻开始的时间),来改变第二高频电力LF的频率。另外,在上述高频电力施加工序中,可以改变第二高频电力LF的值。由此,能够提高离子能量、离子的直行性。例如,随着纵横比变高,可以使第二高频电力LF的频率下降,或者使第二高频电力LF的电力值增加。另外,在上述高频电力施加工序中,可以改变第二高频电力LF的脉冲周期。作为使第二高频电力LF的脉冲周期改变的方法,可以举出例如开口的尺寸越小周期越短(即,脉冲频率上升)的方法。即,在蚀刻的中期和后期,开口的尺寸变得小于设计尺寸。
(第二实施方式的效果)
以上,根据第二实施方式,将形成于蚀刻对象膜上的具有规定的开口图案的含金属膜作为掩模,利用具有堆积性的处理气体的等离子体对蚀刻对象膜进行蚀刻,在处理气体的等离子体存在的期间,间歇地施加用于引入等离子体中的离子的高频电力(即,第二高频电力LF)。即,当未施加第二高频电力LF时,与处理气体对应的堆积物在含金属膜上堆积,当施加第二高频电力LF时,利用处理气体的等离子体对蚀刻对象膜进行蚀刻。由此,在利用与处理气体对应的堆积物来保护含金属膜的状态下,对蚀刻对象膜进行蚀刻,因此能够抑制金属从含金属膜飞散,避免由于附着在蚀刻对象膜的金属而阻碍蚀刻的情况。结果,能够改善利用使用了含金属掩模的蚀刻而形成的凹部的形状。
此外,在上述第二实施方式中,例示了执行蚀刻工序和高频电力施加工序的例子,不过本发明的技术不限于此。例如,在蚀刻工序之前,还可以执行如下的图案形成工序:将具有规定的开口图案的掩模膜作为掩模,在形成于蚀刻对象膜上的含金属膜上形成规定的开口图案。掩模膜例如为氧化硅膜、氮化硅膜、SiON膜、SiC膜或者SiOC膜。
另外,当在具有规定的开口图案的含金属膜上形成有掩模膜时,在蚀刻工序之前,还可以执行如下的预蚀刻工序:将掩模膜作为掩模,对蚀刻对象膜进行蚀刻,直到含金属膜的上表面的至少一部分露出。此时,在预蚀刻工序中,优选掩模膜的蚀刻速率小于等于蚀刻对象膜的蚀刻速率。由此,能够尽可能地抑制金属从掩模膜的下层即含金属膜飞散。在预蚀刻工序中,第二高频电力LF可以为连续波,也可以为脉冲波。当第二高频电力LF为脉冲波时,可以对第二高频电力LF进行闭合/断开(ON/OFF)控制,也可以在高(High)值与低(Low)值之间调制第二高频电力LF。另外,可以在预蚀刻工序与蚀刻工序之间改变占空比。当占空比改变时,预蚀刻工序的占空比可以大于蚀刻工序的占空比。即,当在含金属膜上存在掩模膜时,由于含金属膜被掩模膜保护,因此可以增大施加第二高频电力LF的比例。
另外,在上述第二实施方式中,例示了对依次层叠有蚀刻对象膜和具有规定的开口图案的含金属膜的晶片W执行一系列处理的例子,不过晶片W的结构不限于此。例如,在含金属膜上,可以残留有在含金属膜上形成规定的开口图案时使用的掩模膜。
另外,在上述第二实施方式中,可以对上部电极(气体喷头25)施加直流电压DC。直流电压DC可以为负的直流电压DC。另外,在上述第二实施方式中,可以供给直流电压DC的连续波,也可以以脉冲状进行供给。另外,在上述第二实施方式中,对另一脉冲波也可以同步以脉冲状施加第二高频电力LF。另一脉冲波可以为第二高频电力的脉冲波(下面适当称为“LF脉冲”)。在上述第二实施方式中,例如在未施加LF脉冲时可以供给负的直流电压DC。例如在未施加LF脉冲时(即,在形成保护膜的时刻),氩等的稀有气体的正离子溅射上部电极,从上部电极放出的硅与含氟的反应种反应而排出到腔室外。由此,减少含氟的反应种以使保护膜堆积模式有效地体现。另外,在上述第二实施方式中,可以使直流电压DC的绝对值随时间变化。例如,在上述第二实施方式中,在蚀刻工序时可以供给与预蚀刻工序时相比绝对值大的直流电压DC。
另外,在上述第二实施方式中,可以改变第二高频电力LF的占空比和/或第二高频电力LF的频率。例如,可以在预蚀刻工序中,供给具有某频率的第二高频电力LF,在蚀刻工序中,供给具有与预蚀刻工序时相比频率大的第二高频电力LF。
另外,在上述第二实施方式中,可以以脉冲状供给第一高频电力HF。例如,在上述第二实施方式中,可以对第一高频电力HF进行闭合/断开(ON/OFF)控制,也可以在高(High)值与低(Low)值之间调制第一高频电力LF。另外,在上述第二实施方式中,可以使第一高频电力HF的脉冲波与第二高频电力LF的脉冲波同步。另外,在上述第二实施方式中,也可以使第一高频电力HF的脉冲波与第二高频电力LF的脉冲波不同步。
另外,例如在上述第二实施方式中,可以在高频电力施加工序中,使可变直流电源52与气体喷头25连接以间歇地施加规定的直流电压。具体而言,控制部100可以对第二高频电源34和/或可变直流电源52进行脉冲控制。例如,可以以如下方式间歇地施加规定的直流电压,即:当控制部100对第二高频电源34和可变直流电源52进行控制而第二高频电源34断开(OFF)时,可变直流电源52闭合(ON),当第二高频电源34闭合(ON)时,可变直流电源52断开(OFF)。当第二高频电源34断开(OFF)时,若可变直流电源52闭合(ON),则由于离子对气体喷头25的冲突,气体喷头25所包含的硅的溅射量增加,含硅堆积物作为保护膜堆积在含金属膜上。而且,由于离子对气体喷头25的冲突而放出的硅与含氟的活性种反应而排出到腔室10外,含碳堆积物作为保护膜堆积在含金属膜上。其结果,利用含硅堆积物和含碳堆积物对含金属膜进行保护,因此能够进一步抑制金属从含金属膜飞散。
另外,在上述第二实施方式中,在高频电力施加工序中,例示了利用包含各自的流量一定的CF系气体、含C和O的气体、稀有气体和含O气体的处理气体的等离子体而在含金属膜上形成保护膜的例子,不过本发明的技术不限于此。例如,也可以使CF系气体的流量一定,改变含有C和O的气体(例如CO)的流量。若CO增加,则容易引起由CF系气体+CO→COF+CF自由基所表示的反应,因此C比高的自由基增加,自由基对含金属膜的附着量增加。另一方面,CO的流量减少或者为0时,C比高的自由基减少,自由基对含金属膜的附着量减少(凹部的堆积量增加)。另外,在上述第一实施方式中,可以根据第二高频电力LF的频率、第二高频电力LF的大小、直流电压DC的脉冲波的周期,来改变CO的流量。例如,认为当第二高频电力LF的频率下降时,CO相对于第一处理气体整体的流量比增加。另外,例如,认为当第二高频电力LF的电力值上升时,CO相对于第一处理气体整体的流量比增加。由此,由于含金属膜进一步被保护,因此能够进一步抑制进行高纵横比的蚀刻时金属从含金属膜掩模飞散。另外,例如,若在直流电压DC为高(High)值时增大CO的流量比,则F除去效果提高,含金属膜上的堆积量变大。若在直流电压DC为低(Low)值时减小CO的流量比,则F除去效果下降,凹部的堆积量变大。另外,在上述第一实施方式中,还可以使含C和O的气体的流量为一定,改变CF系气体的流量。
(实施例)
下面,举出实施例,对第二实施方式的等离子体蚀刻方法进行更详细的说明。但是,第二实施方式的等离子体蚀刻方法不限于下述的实施例。
(比较例2)
在比较例2中,对模拟晶片W的被处理体进行了蚀刻工序。作为被处理体,使用了具有以下结构的物质。另外,被处理体配置于形成有SiO2膜的测试用基板上,在测试用基板的被处理体的周围配置有WC片(chip)。利用下面的条件,进行了蚀刻工序。
(被处理体)
蚀刻对象膜:SiO2
含金属膜:WC膜
掩模膜:SiO2
(蚀刻工序)
处理气体和流量:C4F6/CO/Ar/O2=10/300/1000/8sccm
压力:4.0Pa(30mTorr)
第一高频电力HF:100W,连续波
第二高频电力LF:200W,连续波
向上部电极(气体喷头25)施加的直流电压:-150V,连续波
处理时间:180秒
(实施例2)
在实施例2中,对模拟晶片W的被处理体进行蚀刻工序,然后在执行蚀刻工序时,进行间歇地施加第二高频电力LF的高频电力施加工序。利用以下的条件进行了蚀刻工序(和高频电力施加工序)。
(蚀刻工序)
处理气体和流量:C4F6/CO/Ar/O2=10/300/1000/8sccm
压力:4.0Pa(30mTorr)
第一高频电力HF:100W,连续波
第二高频电力LF:1180W,脉冲波(频率:0.1kHz,占空比:17%)
向上部电极(气体喷头25)施加的直流电压:-150V,连续波
处理时间:235秒
(比较例2和实施例2的处理结果)
图6是表示比较例2和实施例2的处理结果的图。图6是对处理前的被处理体、比较例2中的进行了蚀刻工序后的被处理体、实施例2中的进行了蚀刻工序(和高频电力施加工序)后的被处理体,分别给出将上表面(Top view:顶视图)和截面(X-section:X截面)放大的照片的跟踪(trace)图。此外,在图6中,“初始(Initial)”表示处理前的被处理体。“以LF、CW进行蚀刻(As LF CW etch)”表示比较例2中的进行了蚀刻工序后的被处理体。“以LF脉冲进行蚀刻(As LF pulse etch)”表示实施例2中的进行了蚀刻工序(和高频电力施加工序)后的被处理体。
另外,在图6中,“顶部CD(Top CD)”表示形成有凹部的开口的宽度,“CD偏差(CDbias)”表示凹部的开口的宽度的缩小量。
如图6所示,与在执行蚀刻工序时连续地施加第二高频电力LF的比较例2相比较,在执行蚀刻工序时间歇地施加第二高频电力LF的实施例2中,凹部的开口的宽度的缩小量减小。另外,与比较例2相比较,在实施例2中,能够使凹部的侧壁的角度更接近于垂直。
关于上述的各实施方式,还记载了下面的附记。
(附记1)
一种等离子体蚀刻方法,其特征在于,包括:
保护膜形成工序,其对形成于蚀刻对象膜上的具有规定的开口图案的含金属膜,利用第一处理气体形成保护膜;和
蚀刻工序,其将形成有上述保护膜的上述含金属膜作为掩模,利用第二处理气体的等离子体对上述蚀刻对象膜进行蚀刻。
(附记2)
如附记1所述的等离子体蚀刻方法,其特征在于:
至少交替地重复进行2次以上上述保护膜形成工序和上述蚀刻工序。
(附记3)
如附记1所述的等离子体蚀刻方法,其特征在于:
在具有规定的开口图案的上述含金属膜上形成有掩模膜,
上述等离子体蚀刻方法还包括预蚀刻工序,其在上述保护膜形成工序之前,将上述掩模膜作为掩模,对上述蚀刻对象膜进行蚀刻,直到露出上述含金属膜的上表面的至少一部分。
(附记4)
如附记1或2所述的等离子体蚀刻方法,其特征在于:
还包括图案形成工序,其在上述保护膜形成工序之前,将具有规定的开口图案的掩模膜作为掩模,在形成于上述蚀刻对象膜上的含金属膜上形成上述规定的开口图案。
(附记5)
如附记3所述的等离子体蚀刻方法,其特征在于:
在上述预蚀刻工序中,上述掩模膜的蚀刻速率低于上述蚀刻对象膜的蚀刻速率。
(附记6)
如附记1~5中任一项所述的等离子体蚀刻方法,其特征在于:
上述蚀刻对象膜为氧化硅膜、Low-k膜或者氮化硅膜,
上述第一处理气体包含C4F6、C4F8、C5F8、CHF3、CH2F2或者CH4
上述第二处理气体包含CF4或者C2F6
(附记7)
如附记1~5中任一项所述的等离子体蚀刻方法,其特征在于:
上述蚀刻对象膜为多晶硅膜,
上述第一处理气体包含C4F6、C4F8、C5F8、CHF3、CH2F2或者CH4
上述第二处理气体包含Cl2或者NF3
(附记8)
一种等离子体蚀刻方法,其特征在于,包括:
蚀刻工序,其将形成于蚀刻对象膜上的具有规定的开口图案的含金属膜作为掩模,利用具有堆积性的处理气体的等离子体对上述蚀刻对象膜进行蚀刻;和
高频电力施加工序,其在生成上述处理气体的等离子体的期间,间歇地施加用于引入上述等离子体中的离子的高频电力。
(附记9)
如附记8所述的等离子体蚀刻方法,其特征在于:
在具有规定的开口图案的上述含金属膜上形成有掩模膜,
上述等离子体蚀刻方法还包括预蚀刻工序,其在上述蚀刻工序之前,将上述掩模膜作为掩模,对上述蚀刻对象膜进行蚀刻,直到露出上述含金属膜的上表面的至少一部分。
(附记10)
如附记8所述的等离子体蚀刻方法,其特征在于:
还包括图案形成工序,其在上述蚀刻工序之前,将具有规定的开口图案的掩模膜作为掩模,在形成于上述蚀刻对象膜上的含金属膜上形成上述规定的开口图案。
(附记11)
如附记9所述的等离子体蚀刻方法,其特征在于:
在上述预蚀刻工序中,上述掩模膜的蚀刻速率低于上述蚀刻对象膜的蚀刻速率。
(附记12)
如附记7~11中任一项所述的等离子体蚀刻方法,其特征在于:
上述蚀刻对象膜为氧化硅膜、Low-k膜或者氮化硅膜,
上述处理气体包含C4F6、C4F8、C5F8、CHF3、CH2F2
(附记13)
如附记7~11中任一项所述的等离子体蚀刻方法,其特征在于:
上述蚀刻对象膜为多晶硅膜,
上述处理气体包含HBr。
(附记14)
如附记3~5、9~11中任一项所述的等离子体蚀刻方法,其特征在于:
上述掩模膜为氧化硅膜、氮化硅膜、SiON膜、SiC膜或者SiOC膜。
(附记15)
如附记1~14中任一项所述的等离子体蚀刻方法,其特征在于:
上述含金属膜为WC膜或者TiN膜。
(附记16)
一种等离子体蚀刻装置,其特征在于,包括:
用于对被处理体进行等离子体蚀刻处理的腔室,上述被处理体依次层叠有蚀刻对象膜和具有规定的开口图案的含金属膜;
用于对上述腔室内进行减压的排气部;
用于向上述腔室内供给处理气体的气体供给部;和
执行保护膜形成工序和第一蚀刻工序的控制部,上述保护膜形成工序利用第一处理气体对上述含金属膜形成保护膜,上述第一蚀刻工序将形成有上述保护膜的上述含金属膜作为掩模,利用第二处理气体的等离子体对上述蚀刻对象膜进行蚀刻。
(附记17)
一种等离子体蚀刻装置,其特征在于,包括:
用于对被处理体进行等离子体蚀刻处理的腔室,上述被处理体依次层叠有蚀刻对象膜和具有规定的开口图案的含金属膜;
用于对上述腔室内进行减压的排气部;
用于向上述腔室内供给处理气体的气体供给部;和
执行第二蚀刻工序和偏置电力施加工序的控制部,上述第二蚀刻工序将上述含金属膜作为掩模,利用具有堆积性的处理气体的等离子体对上述蚀刻对象膜进行蚀刻,上述偏置电力施加工序在对上述蚀刻对象膜进行蚀刻的期间,间歇地施加用于引入上述等离子体中的离子的偏置电力。

Claims (8)

1.一种等离子体蚀刻方法,其特征在于,包括:
保护膜形成工序,其利用第一处理气体,对形成于蚀刻对象膜上的具有规定的开口图案的含金属膜形成保护膜;和
蚀刻工序,其将形成有所述保护膜的所述含金属膜作为掩模,利用第二处理气体的等离子体对所述蚀刻对象膜进行蚀刻。
2.如权利要求1所述的等离子体蚀刻方法,其特征在于:
至少交替地重复进行2次以上所述保护膜形成工序和所述蚀刻工序。
3.如权利要求1所述的等离子体蚀刻方法,其特征在于:
在具有规定的开口图案的所述含金属膜上形成有掩模膜,
所述等离子体蚀刻方法还包括预蚀刻工序,所述预蚀刻工序在所述保护膜形成工序之前,将所述掩模膜作为掩模,对所述蚀刻对象膜进行蚀刻,直到露出所述含金属膜的上表面的至少一部分。
4.如权利要求1或2所述的等离子体蚀刻方法,其特征在于:
还包括图案形成工序,所述图案形成工序在所述保护膜形成工序之前,将具有规定的开口图案的掩模膜作为掩模,在形成于所述蚀刻对象膜上的含金属膜上形成所述规定的开口图案。
5.一种等离子体蚀刻方法,其特征在于,包括:
蚀刻工序,其将形成于蚀刻对象膜上的具有规定的开口图案的含金属膜作为掩模,利用处理气体的等离子体对所述蚀刻对象膜进行蚀刻;和
高频电力施加工序,其在生成所述处理气体的等离子体的期间,间歇地施加用于引入所述等离子体中的离子的高频电力。
6.如权利要求5所述的等离子体蚀刻方法,其特征在于:
在具有规定的开口图案的所述含金属膜上形成有掩模膜,
所述等离子体蚀刻方法还包括预蚀刻工序,所述预蚀刻工序在所述蚀刻工序之前,将所述掩模膜作为掩模,对所述蚀刻对象膜进行蚀刻,直到露出所述含金属膜的上表面的至少一部分。
7.如权利要求5所述的等离子体蚀刻方法,其特征在于:
还包括图案形成工序,所述图案形成工序在所述蚀刻工序之前,将具有规定的开口图案的掩模膜作为掩模,在形成于所述蚀刻对象膜上的含金属膜上形成所述规定的开口图案。
8.如权利要求3或6所述的等离子体蚀刻方法,其特征在于:
在所述预蚀刻工序中,所述掩模膜的蚀刻速率低于所述蚀刻对象膜的蚀刻速率。
CN201811317005.1A 2017-11-07 2018-11-07 等离子体蚀刻方法 Active CN109755123B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310912618.4A CN116705602A (zh) 2017-11-07 2018-11-07 等离子体处理装置

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017-214313 2017-11-07
JP2017214313A JP6833657B2 (ja) 2017-11-07 2017-11-07 基板をプラズマエッチングする方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202310912618.4A Division CN116705602A (zh) 2017-11-07 2018-11-07 等离子体处理装置

Publications (2)

Publication Number Publication Date
CN109755123A true CN109755123A (zh) 2019-05-14
CN109755123B CN109755123B (zh) 2023-08-11

Family

ID=66328877

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201811317005.1A Active CN109755123B (zh) 2017-11-07 2018-11-07 等离子体蚀刻方法
CN202310912618.4A Pending CN116705602A (zh) 2017-11-07 2018-11-07 等离子体处理装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202310912618.4A Pending CN116705602A (zh) 2017-11-07 2018-11-07 等离子体处理装置

Country Status (5)

Country Link
US (2) US10854470B2 (zh)
JP (1) JP6833657B2 (zh)
KR (1) KR20190051817A (zh)
CN (2) CN109755123B (zh)
TW (1) TWI789449B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111739795A (zh) * 2020-06-24 2020-10-02 北京北方华创微电子装备有限公司 刻蚀方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7308110B2 (ja) * 2019-09-17 2023-07-13 東京エレクトロン株式会社 シリコン酸化膜をエッチングする方法及びプラズマ処理装置
GB201919220D0 (en) * 2019-12-23 2020-02-05 Spts Technologies Ltd Method of plasma etching
CN117223091A (zh) * 2022-04-11 2023-12-12 株式会社日立高新技术 等离子处理方法
CN117546276A (zh) * 2022-04-18 2024-02-09 株式会社日立高新技术 等离子体处理方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040173570A1 (en) * 2003-03-05 2004-09-09 Applied Materials, Inc. Method of etching magnetic and ferroelectric materials using a pulsed bias source
CN104253036A (zh) * 2013-06-28 2014-12-31 东京毅力科创株式会社 等离子体蚀刻方法及等离子体蚀刻装置
CN105210178A (zh) * 2013-05-15 2015-12-30 东京毅力科创株式会社 等离子体蚀刻方法和等离子体蚀刻装置
US20170178899A1 (en) * 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4153606B2 (ja) * 1998-10-22 2008-09-24 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
JP4176365B2 (ja) 2002-03-25 2008-11-05 東京エレクトロン株式会社 プラズマエッチング方法
JP2012142495A (ja) * 2011-01-05 2012-07-26 Ulvac Japan Ltd プラズマエッチング方法及びプラズマエッチング装置
JP6127535B2 (ja) * 2012-02-03 2017-05-17 大日本印刷株式会社 ナノインプリント用テンプレートの製造方法
JP6512962B2 (ja) * 2014-09-17 2019-05-15 東京エレクトロン株式会社 プラズマ処理装置
US9806252B2 (en) * 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9543148B1 (en) * 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9824896B2 (en) * 2015-11-04 2017-11-21 Lam Research Corporation Methods and systems for advanced ion control for etching processes
JP2017098323A (ja) 2015-11-19 2017-06-01 東京エレクトロン株式会社 プラズマエッチング方法
US9991128B2 (en) * 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10128116B2 (en) * 2016-10-17 2018-11-13 Lam Research Corporation Integrated direct dielectric and metal deposition
US11062897B2 (en) * 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040173570A1 (en) * 2003-03-05 2004-09-09 Applied Materials, Inc. Method of etching magnetic and ferroelectric materials using a pulsed bias source
CN105210178A (zh) * 2013-05-15 2015-12-30 东京毅力科创株式会社 等离子体蚀刻方法和等离子体蚀刻装置
CN104253036A (zh) * 2013-06-28 2014-12-31 东京毅力科创株式会社 等离子体蚀刻方法及等离子体蚀刻装置
US20170178899A1 (en) * 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111739795A (zh) * 2020-06-24 2020-10-02 北京北方华创微电子装备有限公司 刻蚀方法
CN111739795B (zh) * 2020-06-24 2023-08-18 北京北方华创微电子装备有限公司 刻蚀方法

Also Published As

Publication number Publication date
TW201923900A (zh) 2019-06-16
JP6833657B2 (ja) 2021-02-24
TWI789449B (zh) 2023-01-11
US20210050222A1 (en) 2021-02-18
US10854470B2 (en) 2020-12-01
US20190139781A1 (en) 2019-05-09
KR20190051817A (ko) 2019-05-15
JP2019087626A (ja) 2019-06-06
CN116705602A (zh) 2023-09-05
CN109755123B (zh) 2023-08-11

Similar Documents

Publication Publication Date Title
CN109755123A (zh) 等离子体蚀刻方法
TWI731101B (zh) 蝕刻處理方法
KR102035890B1 (ko) 에칭 처리 방법
TWI753906B (zh) 蝕刻方法
TWI605514B (zh) 用於選擇性移除鎢之乾蝕刻
CN109219867A (zh) 蚀刻方法
US11658036B2 (en) Apparatus for processing substrate
CN102169823B (zh) 半导体装置的制造方法和等离子体蚀刻装置
KR102515764B1 (ko) 에칭 처리 방법
JP2019046994A (ja) エッチング方法
US20220157610A1 (en) Etching method
CN104576453B (zh) 等离子体处理方法和等离子体处理装置
TWI694531B (zh) 蝕刻方法
JPH08236513A (ja) プラズマ中で基板をエッチングする方法
TWI766866B (zh) 蝕刻方法
CN109196624A (zh) 蚀刻方法
CN112447515A (zh) 蚀刻处理方法和蚀刻处理装置
CN105810582A (zh) 蚀刻方法
TWI597777B (zh) 蝕刻方法
KR101924796B1 (ko) 기판 처리 방법 및 기억 매체
CN112420508A (zh) 蚀刻方法及基板处理装置
WO2023234214A1 (ja) エッチング方法及びプラズマ処理装置
TW202245051A (zh) 基板處理方法及基板處理裝置
TW202245056A (zh) 基板處理方法及基板處理裝置
JP2021002618A (ja) エッチング方法及びエッチング装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant