CN109388842A - 经反射光匹配和表面动力模型优化蚀刻轮廓的方法和装置 - Google Patents

经反射光匹配和表面动力模型优化蚀刻轮廓的方法和装置 Download PDF

Info

Publication number
CN109388842A
CN109388842A CN201810902809.1A CN201810902809A CN109388842A CN 109388842 A CN109388842 A CN 109388842A CN 201810902809 A CN201810902809 A CN 201810902809A CN 109388842 A CN109388842 A CN 109388842A
Authority
CN
China
Prior art keywords
etching
model
parameter
groups
reflectance spectrum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201810902809.1A
Other languages
English (en)
Inventor
***·德里亚·特泰克
萨拉瓦纳普里亚·西里拉曼
安德鲁·D·贝利三世
亚历克斯·帕特森
理查德·A·戈奇奥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN109388842A publication Critical patent/CN109388842A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41885Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by modeling, simulation of the manufacturing system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32216If machining not optimized, simulate new parameters and correct machining
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45212Etching, engraving, sculpturing, carving
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/06Multi-objective optimisation, e.g. Pareto optimisation using simulated annealing [SA], ant colony algorithms or genetic algorithms [GA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Quality & Reliability (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明涉及经反射光匹配和表面动力模型优化蚀刻轮廓的方法和装置。公开了优化计算机模型的方法,其通过使用多个模型参数(B)将半导体衬底上的特征的蚀刻轮廓与成组的独立输入参数(A)相关联。在一些实施方式中,所述方法可以包括:修改B的一个或多个值,以便相对于A的一组或者多组成组的值减少指示在从模型生成的计算反射光谱和对应的实验反射光谱之间的差的尺度。在一些实施方式中,计算所述尺度可以包括:将所述计算反射光谱和对应的实验反射光谱投射到经降维的子空间上,并且计算投射到所述子空间上的所述反射光谱之间的差。还公开了实现这样的优化计算机模型的蚀刻***。

Description

经反射光匹配和表面动力模型优化蚀刻轮廓的方法和装置
技术领域
本发明总体上涉及半导体处理领域,更具体地涉及经反射光谱匹配和表面动力学模型优化来优化蚀刻轮廓的方法和装置。
背景技术
等离子体辅助蚀刻工艺的性能对于半导体处理工作流程的成功通常是关键的。然而,优化蚀刻工艺可能是困难的且耗时的,通常涉及工艺工程师以特定方式手动地调整蚀刻工艺参数以试图产生所期望的目标特征轮廓。目前根本没有足够精度的自动化程序,工艺工程师可以依靠该程序来确定将导致给定的所期望的蚀刻轮廓的工艺参数的值。
一些模型试图模拟在蚀刻工艺期间发生在半导体衬底表面上的物理化学过程。实例包括M.Kushner和同事的蚀刻轮廓模型以及Cooperberg和同事的蚀刻轮廓模型。前者在Y.Zhang,“Low Temperature Plasma Etching Control through Ion Energy AngularDistribution and 3-Dimensional Profile Simulation,”Chapter 3,dissertation,University of Michigan(2015)中描述,后者在Cooperberg,Vahedi,and Gottscho,“Semiempirical profile simulation of aluminum etching in a Cl2/BCl3plasma,”J.Vac.Sci.Technol.A 20(5),1536(2002)中描述,其各自为了所有目的通过引用整体并入本文。M.Kushner和同事的蚀刻轮廓模型的另外的描述可以在J.Vac.Sci.Technol.A 15(4),1913(1997),J.Vac.Sci.Technol.B 16(4),2102(1998),J.Vac.Sci.Technol.A 16(6),3274(1998),J.Vac.Sci.Technol.A 19(2),524(2001),J.Vac.Sci.Technol.A 22(4),1242(2004),J.Appl.Phys.97,023307(2005)中找到,其各自也出于所有目的通过引用整体并入本文。尽管开发这些模型所做的大量工作,但是它们还不具有期望程度的在半导体加工工业中发现有实质性用途的精确度和可靠性。
发明内容
公开了优化计算机模型的方法,其通过使用多个模型参数(B)将半导体衬底上的特征的蚀刻轮廓与成组的独立输入参数(A)相关联。所述方法可以包括为所选定的待优化的成组的所述模型参数(B)确定成组的值:为所选定的成组的独立输入参数(A)确定多组成组的值以进行优化。然后,对于A的每组成组的值,所述方法可以进一步包括:接收从使用所指定的A的所述成组的值执行的实验蚀刻工艺的光学测量产生的实验反射光谱;并且还由所述模型使用A和B的所述成组的值生成计算反射光谱。在某些这样的实施方式中,所述方法可以进一步包括:修改B的一个或多个值,并且从所述模型但是现在使用经修改的B的所述成组的值重复计算反射光谱的生成,以便相对于A的一组或者多组成组的值,减少指示在所述实验反射光谱和对应的计算反射光谱之间的差的尺度(metric)。
在一些实施方式中,计算所述尺度可以包括以下操作:计算所述计算反射光谱和对应的实验反射光谱之间的差并将该差投射到经降维的子空间上;并且/或者将所述计算反射光谱和对应的实验反射光谱投射到经降维的子空间上,并且计算投射到所述子空间上的所述反射光谱之间的差。
在一些实施方式中,所述计算反射光谱中的至少一些通过包括以下操作的工艺产生:使用所述模型产生由一系列蚀刻轮廓坐标表示的计算蚀刻轮廓;以及从所述计算蚀刻轮廓,通过模拟电磁辐射从所述计算蚀刻轮廓反射来产生计算反射光谱。
在一些实施方式中,实验反射光谱包括对应于表示蚀刻工艺的不同持续时间的蚀刻时间序列的反射光谱;以及所述计算反射光谱包括从模型计算得到的反射光谱,以便对应于相同的所述蚀刻时间序列。在某些这样的实施方式中,从在所述蚀刻时间序列在进行蚀刻工艺期间进行的光学测量中产生所述实验反射光谱,在某些情况下,在所述蚀刻时间序列的至少一部分中的连续蚀刻时间被隔开0.01-1秒。
本文还公开了一种计算机模型,其用于生成计算蚀刻轮廓,所述计算机模型已经通过前述方法优化。本文还公开了在已通过蚀刻工艺蚀刻半导体衬底上的特征之后近似确定所述特征的轮廓的方法。这些方法可以包括:为对应于所述蚀刻工艺的成组的独立输入参数指定成组的值;以及利用为所述独立输入参数所指定的所述成组的值使用前述的优化的计算机模型来生成蚀刻轮廓。还公开了为蚀刻工艺确定成组的独立输入参数的成组的值的方法,所述方法在通过所述蚀刻工艺蚀刻在半导体衬底上的特征之后将近似产生所述特征的所期望的蚀刻轮廓。
本文还公开了用于处理半导体衬底的***。这些***可以包括:用于蚀刻半导体衬底的蚀刻器装置,其操作通过成组的独立输入参数调整;以及用于控制所述蚀刻器装置的操作的控制器。所述控制器通常包括处理器和存储器。所述存储器可以存储通过任何前述的模型优化方法优化的蚀刻特征轮廓模型。所述处理器可以被配置成使用存储在所述存储器中的所述优化蚀刻特征轮廓模型来根据所述成组的独立输入参数的成组的值计算蚀刻特征轮廓。
具体而言,本发明的一些方面可以阐述如下:
1.一种优化计算机模型的方法,其将半导体衬底上的特征的蚀刻轮廓与成组的独立输入参数相关联,所述方法包括:
(a)指定至少一个待优化的模型参数;
(b)为所选定的成组的独立输入参数确定多组成组的值;
(c)对于在(b)中所指定的每组成组的值,接收从使用在(b)中所指定的所述成组的值执行的实验蚀刻工艺的光学测量产生的实验反射光谱;
(d)对于在(b)中所指定的每组成组的值,由所述模型使用在(b)中所指定的所述成组的值生成计算反射光谱;以及
(e)修改在(a)中所指定的所述模型参数的值,并且用经修改的所述值重复(d),以便相对于用于在(b)中所指定的所选定的所述成组的独立输入参数的一组或者多组成组的值,减少指示在(c)中所接收的所述实验反射光谱和在(d)中生成的对应的计算反射光谱之间的差的尺度;
(f)使用所述计算机模型利用来自(e)的经修改的所述值来确定所述光刻掩模的图案;以及
(g)应用所述图案以通过将坯料暴露于所述图案来产生所述光刻掩模。
2.根据条款1所述的方法,其还包括通过包括以下操作的工艺产生所述计算反射光谱中的至少一些:
(i)使用所述模型产生由一系列蚀刻轮廓坐标表示的计算蚀刻轮廓;
(ii)从在(i)中产生的所述计算蚀刻轮廓,通过模拟电磁辐射从所述计算蚀刻轮廓反射来产生计算反射光谱。
3.根据条款1所述的方法,其中:
在(c)中产生的所述实验反射光谱包括与代表蚀刻工艺的不同持续时间的蚀刻时间序列对应的反射光谱;以及
在(d)中产生的所述计算反射光谱包括从所述模型计算得到的反射光谱,以便对应于(c)中的所述蚀刻时间序列。
4.根据条款3所述的方法,其中在(c)中从在所述蚀刻时间序列下在正在进行的蚀刻工艺期间进行的光学测量中产生所述实验反射光谱。
5.根据条款1所述的方法,其还包括重复(e)直到获得关于在(a)中所选定的所述模型参数的误差的基本上局部或全局的最小值。
6.根据条款1所述的方法,其中所述计算机模型计算表示与时间成函数关系的在所述半导体衬底上的所述特征的所述蚀刻轮廓的网格点处的局部蚀刻速率。
7.根据条款1所述的方法,其中所述至少一个模型参数包括反应速率常数、反应物和产物粘附系数、以及反应物扩散常数和/或产物扩散常数。
8.根据条款1所述的方法,其中,在(b)中的为所述成组的独立输入参数确定所述多组成组的值包括PCA。
9.根据条款1所述的方法,其中应用所述图案以产生所述光刻掩模包括将所述图案转移到抗蚀剂层。
10.根据条款9所述的方法,其还包括使所述抗蚀剂层显影并将所述图案转移到下面的铬层。
11.一种通过优化计算机模型来制造蚀刻器装置的方法,所述计算机模型将半导体衬底上的特征的蚀刻轮廓与成组的独立输入参数相关联,所述方法包括:
(a)指定至少一个待优化的模型参数;
(b)为所选定的成组的独立输入参数确定多组成组的值;
(c)对于在(b)中所指定的每组成组的值,接收从使用在(b)中所指定的所述成组的值执行的实验蚀刻工艺的光学测量产生的实验反射光谱;
(d)对于在(b)中所指定的每组成组的值,由所述模型使用在(b)中所指定的所述成组的值生成计算反射光谱;
(e)修改在(a)中所指定的所述模型参数的值,并且用经修改的所述值重复(d),以便相对于用于在(b)中所指定的所选定的所述成组的独立输入参数的一组或者多组成组的值,减少指示在(c)中所接收的所述实验反射光谱和在(d)中生成的对应的计算反射光谱之间的差的尺度;以及
(f)通过使用所述计算机模型利用来自(e)的经修改的所述值来制造蚀刻器装置,以确定用于所述蚀刻器装置的经修改的反应器设计。
12.根据条款11所述的方法,其中制造所述蚀刻器装置包括制造所述蚀刻器装置的组件,其中所述组件具有通过使用所述计算机模型利用来自(e)的经修改的所述值确定的设计。
13.根据条款12所述的方法,其中所述组件选自由喷头、等离子体产生器、基座和室壁组成的组。
14.根据条款11所述的方法,其还包括通过包括以下操作的工艺产生所述计算反射光谱中的至少一些:
(i)使用所述模型产生由一系列蚀刻轮廓坐标表示的计算蚀刻轮廓;
(ii)从在(i)中产生的所述计算蚀刻轮廓,通过模拟电磁辐射从所述计算蚀刻轮廓反射来产生计算反射光谱。
15.根据条款11所述的方法,其中:
在(c)中产生的所述实验反射光谱包括与代表蚀刻工艺的不同持续时间的蚀刻时间序列对应的反射光谱;以及
在(d)中产生的所述计算反射光谱包括从所述模型计算得到的反射光谱,以便对应于(c)中的所述蚀刻时间序列。
16.根据条款11所述的方法,其中所述计算机模型计算表示与时间成函数关系的在所述半导体衬底上的所述特征的所述蚀刻轮廓的网格点处的局部蚀刻速率。
17.根据条款11所述的方法,其中所述至少一个模型参数包括反应速率常数、反应物和产物粘附系数、以及反应物扩散常数和/或产物扩散常数。
18.一种通过优化计算机模型来蚀刻半导体衬底的方法,所述计算机模型将半导体衬底上的特征的蚀刻轮廓与成组的独立输入参数相关联,所述方法包括:
(a)指定至少一个待优化的模型参数;
(b)为所选定的成组的独立输入参数确定多组成组的值;
(c)对于在(b)中所指定的每组成组的值,接收从使用在(b)中所指定的所述成组的值执行的实验蚀刻工艺的光学测量产生的实验反射光谱;
(d)对于在(b)中所指定的每组成组的值,由所述模型使用在(b)中所指定的所述成组的值生成计算反射光谱;
(e)修改在(a)中所指定的所述模型参数的值,并且用经修改的所述值重复(d),以便相对于用于在(b)中所指定的所选定的所述成组的独立输入参数的一组或者多组成组的值,减少指示在(c)中所接收的所述实验反射光谱和在(d)中生成的对应的计算反射光谱之间的差的尺度;
(f)蚀刻所述半导体衬底;
(g)将在(f)中的所述蚀刻期间原位获得的数据利用来自(e)的经修改的所述值输入到所述计算机模型中;以及
(h)使用关于所述半导体衬底的几何信息监测所述蚀刻,其中所述几何信息在(g)中通过所述计算机模型利用经修改的所述值输出。
19.根据条款18所述的方法,其还包括通过包括以下操作的工艺产生所述计算反射光谱中的至少一些:
(i)使用所述模型产生由一系列蚀刻轮廓坐标表示的计算蚀刻轮廓;
(ii)从在(i)中产生的所述计算蚀刻轮廓,通过模拟电磁辐射从所述计算蚀刻轮廓反射来产生计算反射光谱。
20.根据条款18所述的方法,其中:
在(c)中产生的所述实验反射光谱包括与代表蚀刻工艺的不同持续时间的蚀刻时间序列对应的反射光谱;以及
在(d)中产生的所述计算反射光谱包括从所述模型计算得到的反射光谱,以便对应于(c)中的所述蚀刻时间序列。
21.根据条款18所述的方法,其中所述计算机模型计算表示与时间成函数关系的在所述半导体衬底上的所述特征的所述蚀刻轮廓的网格点处的局部蚀刻速率。
22.根据条款18所述的方法,其中所述至少一个模型参数包括反应速率常数、反应物和产物粘附系数、以及反应物扩散常数和/或产物扩散常数。
23.一种用于处理半导体衬底的***,所述***包括:
用于蚀刻半导体衬底的蚀刻器装置,其操作通过成组的独立输入参数调整;以及
用于控制所述蚀刻器装置的所述操作的控制器,所述控制器包括处理器和存储器;
其中:
所述存储器存储用于操作所述蚀刻器装置的工艺参数的值,其中使用所提供的经优化的计算机将所述工艺参数的所述值确定作为在非暂时性计算机可读介质上的计算机可读指令,其中所述模型根据成组的独立输入参数的成组的值生成在半导体衬底上的特征的计算的蚀刻轮廓,所述计算机模型已通过条款1-22的操作(a)-(e)优化。
24.根据条款23所述的***,其中所述工艺参数选自:RF等离子体频率和RF等离子体功率电平。
25.根据条款23所述的***,其中所述蚀刻器装置包括:
处理室;
用于将衬底保持在所述处理室内的衬底保持器;
等离子体产生器,其用于产生在所述处理室内的等离子体,所述等离子体产生器包括RF电源;
一个或多个阀控制的工艺气体入口,其用于使一种或多种工艺气体流入所述处理室;以及
一个或多个气体出口,其流体连接到一个或多个真空泵,以从所述处理室排出气体。
26.根据条款23所述的***,其中所述控制器被配置成对所述RF电源的频率和/或功率电平进行调节以修改所述处理室中的所述等离子体的特性。
27.根据条款23所述的***,其中所述控制器被配置成操作所述一个或多个阀控制的工艺气体入口以调节进入所述处理室的一种或多种工艺气体的流率。
28.根据条款23所述的***,其中所述控制器被配置成对所述处理室内的温度和/或压强进行调节。
本公开的这些和其他特征将在下面参照相关附图给出。
附图说明
图1包括图1(a)和图1(b),图1(a)表示从蚀刻工艺的表面动力学模型通过计算产生的蚀刻轮廓的示例。
图1(b)表示与图1(a)所示的蚀刻轮廓类似的蚀刻轮廓的示例,但在该图中,蚀刻轮廓从用一个或多个光学计量学工具测得的实验测量结果计算得到。
图2是表示用于相对于蚀刻轮廓坐标空间优化蚀刻轮廓模型的程序(procedure)的工艺流程图。
图3A是表示用于优化蚀刻轮廓模型的程序的工艺流程图,特别是优化在这些模型中使用的某些模型参数。
图3B是表示用于优化蚀刻轮廓模型的程序的工艺流程图,特别是优化在这些模型中使用的某些模型参数。
图3B-1是具有平行线并且示出包括CD、P等的相关尺寸的理想化特征的横截面。
图4描绘了可以使用根据本公开优化的模型来识别的成组的示例性的规范的蚀刻轮廓。
图5是表示用于相对于反射光谱空间优化蚀刻轮廓模型的程序的工艺流程图。
图6A是蚀刻轮廓在蚀刻工艺中演变时的反射光谱历史的图示。
图6B示意性地呈现以3-D数据块的形式在许多晶片上收集的成组的光谱反射数据(数据块的3个索引对应于晶片数(i),光谱波长(j)和蚀刻处理时间(k));以及3-D数据块展开成可用作用于PLS光谱历史分析的独立数据的2-D数据块,相关数据是也在图中指示的蚀刻轮廓坐标。
图7是示出用于优化PLS模型的迭代程序的工艺流程图,该PLS模型将蚀刻光谱反射历史与在蚀刻工艺的整个过程中的蚀刻轮廓相关联,同时优化EPM,该EPM用于生成将在PLS模型的优化中使用的计算反射光谱。
图8A-8C示出了可调节间隙的电容耦合(CCP)等离子体反应器的实施方式。
图9示出了电感耦合等离子体(ICP)反应器的实施方式。
具体实施方式
介绍
本文公开了用于改进上述的蚀刻轮廓模型(EPM)(以及其他类似模型)的实际效用的程序,使得它们可以用于产生半导体特征蚀刻轮廓的足够精确的表示(representations),其是在半导体加工业中被信赖的足够好的近似表示。通常,本创造性的程序改进了这些模型的预测能力。
通常,EPM和类似模型试图通过计算与在这些空间位置中的每一个空间位置处的蚀刻工艺相关联的反应速率来模拟衬底特征随时间推移的蚀刻轮廓演变(即在特征的表面上的各种空间位置处的特征的形状的时间依赖型变化),并且在整个模拟蚀刻工艺的过程中都如此,该反应速率由在反应室中建立的等离子体条件下的蚀刻剂入射通量和沉积物质的特征所导致。输出是由离散的成组的数据点(即,轮廓坐标)表示的模拟蚀刻轮廓,这些数据点在空间上映射出轮廓的形状。这样的模拟蚀刻轮廓的示例在图1(a)中示出;模拟轮廓可以对应于如图1(b)所示的实际测得的蚀刻轮廓。模拟蚀刻轮廓随时间推移的演变取决于理论建模的、空间解析的局部蚀刻反应速率,该蚀刻反应速率当然取决于蚀刻工艺的基础化学和物理过程。因此,蚀刻轮廓模拟取决于:与作为蚀刻工艺的基础的化学反应机理相关的各种物理和化学参数,和可表征通常而言在工艺工程师的控制下的室环境(温度、压强、等离子体功率、反应物流率等)的任何物理和化学参数。
关于前者,蚀刻轮廓模型需要成组的“基本的”化学和物理输入参数,示例诸如反应概率、粘着系数、离子和中性物通量等,这些参数通常不是独立可控的和/或甚至可直接由工艺工程师知道的,但是必须被指定为模拟的输入。因此,这些成组的“基本的”或“机械的”输入参数被假定为具有某些值,这些值通常取自文献,并且它们的使用暗示调用在蚀刻工艺被建模之后的基本物理和化学机制的某些简化(和近似)。
本公开提出了组合实验技术和数据分析方法以改进这些衬底蚀刻工艺的EPM的工业适用性的程序。注意,短语“衬底蚀刻工艺”包括蚀刻掩模层的工艺,或更一般地,包括蚀刻已沉积在衬底表面上和/或驻留在衬底表面上的任何材料层的工艺。这些技术聚焦于这些模型所采用的“基本的”化学和物理输入参数,并且通过使用程序以确定什么可以被视为这些参数的更有效的成组的值来改进模型——就这些参数改善蚀刻模型的精度而言是有效的——即使为这些“基本的”参数所确定的最佳值与文献(或其他实验)可能确定作为这些参数的“真实的”物理/化学值不同也是如此。
下面更充分地讨论的图2和图3提供了图解用于生成改进的蚀刻轮廓模型的示例性工艺的流程图。在图2中,例如,所描绘的工艺流程具有两个输入分支,一个来自实验测量,另一个来自模型的当前版本,该版本尚未优化。实验分支和预测模型分支都产生蚀刻轮廓结果。比较这些结果,并且使用该比较来改进模型,使得结果之间的偏差减小。
详细表征由EPM输出的2或3维的蚀刻轮廓数据对于优化模型提出了特别的挑战。在本文公开的各种实施方式中,轮廓数据被表示为一系列高度切片,每个高度切片具有厚度。在其他实施方式中,轮廓被表示为来自共同起点的一系列向量或表示为一系列几何形式,例如梯形。当使用这些高度切片中的许多或该轮廓的其他分量时,使实验轮廓和EPM轮廓之间的误差最小化的优化问题可能在计算方面是有要求的。为了减少所需的计算,使用降维技术(例如主成分分析)来识别各种轮廓分量对在优化中使用的总体物理轮廓的相关贡献。在降维空间(a reduced dimensional space)中以几个主要分量或其他向量表示蚀刻轮廓可以大大简化改善蚀刻轮廓模型的预测能力的过程。此外,这样的主要分量彼此正交,从而保证可以单独地优化独立的轮廓贡献。
在本说明书中使用以下术语。
自变量——如通常所理解的,自变量是引起响应的任何变量。蚀刻轮廓模型可以包括各种类型的自变量,例如反应器工艺条件(例如,温度、压力、气体组成、流率、等离子体功率等)、局部等离子体条件和局部反应条件。
结果变量——如通常所理解的,结果变量是由自变量导致的变量。通常,结果变量由模型输出。在某些情况下,结果变量与术语因变量同义。在本公开中,蚀刻轮廓是一种类型的结果变量。
输入变量——输入变量类似于自变量,但可能更具体,因为对于许多运行,一些自变量可能是固定的,因此对于这种运行不是技术上的“输入”变量。输入变量作为所考虑的运行的输入。
机械参数——机械参数是一种自变量,其表示在进行蚀刻的反应器或衬底中的一个或多个特定位置处的物理和/或化学条件。
等离子体参数——等离子体参数是描述局部等离子体条件(例如,衬底上的特定位置处的等离子体密度和等离子体温度)的一种机械参数。
反应参数——反应参数是描述局部化学或物理化学条件的一种机械参数。
工艺参数——工艺参数是工艺工程师控制的反应器参数(例如,室压力和基座温度)。工艺参数连同衬底特性可以控制蚀刻反应器中的机械参数的值。
模型参数——模型参数是一种被优化的自变量。它通常是机械参数,例如化学反应参数。模型参数的初始值未被优化。
蚀刻轮廓
在研究蚀刻轮廓模型的细节及其改进的程序之前,描述特征的蚀刻轮廓的概念是有用的。通常,蚀刻轮廓(EP)涉及成组的一个或多个几何坐标的任何成组的值,几何坐标可用于表征半导体衬底上的蚀刻特征的形状。在简单的情况下,蚀刻轮廓可被近似为相对于特征的基部在半腰(特征的基部(或底部)与特征在衬底的表面上的顶部开口之间的中点)处所确定的特征的宽度,如通过该特征通过2维竖直横截面切片所观察到的。在更复杂的示例中,蚀刻轮廓可以是通过相同的2维竖直横截面切片所观察到的在特征的基部上方的不同高度处所确定的一系列特征宽度。图1(b)提供了这方面的图示。注意,根据实施方式的不同,宽度可以是凹陷特征的一个侧壁与另一个侧壁之间的距离,即,已经被蚀刻掉的区域的宽度,或者宽度可以指在任一侧上已经被蚀刻掉的柱的宽度。后者在图1(b)中示意性地示出。注意,在一些情况下,这种宽度被称为“关键尺寸”(在图1(b)中标记为“CD”),并且距离特征的基部的高度可以被称为所提及的关键尺寸的高度或z-坐标(在图1(b)中标记为百分比)。如上所述,蚀刻轮廓可以以其他几何基准表示,例如通过来自共同起点的向量组或诸如梯形或三角形之类的形状堆叠(stack)或成组的限定典型的蚀刻轮廓的特征形状参数(例如弓形、直的或锥形的侧壁,圆形底部,小平面等)组表示。
以这种方式,一系列几何坐标(例如,不同高度处的特征宽度)映射出特征轮廓的离散描绘。注意,存在许多方式来表达代表不同高度处的特征宽度的一系列坐标。例如,每个坐标可以具有表示与某些基线特征宽度(例如平均特征宽度或竖直平均特征宽度)的相对偏差(a fractional deviation)的值,或者每个坐标可以表示相对于竖直相邻坐标等的变化。在任何情况下,根据上下文和用法,被称为“宽度”的任何情形和通常用于被用来表示蚀刻轮廓的轮廓的成组的坐标的方案将是清楚的。构思是,使用成组的坐标表示特征的蚀刻轮廓的形状。还应注意,一系列几何坐标也可以用于描述特征的蚀刻轮廓的完整3维形状或其他几何特征,例如衬底表面上的蚀刻圆柱体或沟槽的形状。因此,在一些实施方式中,蚀刻轮廓模型可以提供被建模的特征的完整3-D蚀刻形状。
蚀刻轮廓模型
蚀刻轮廓模型(EPM)根据表征基础的物理和化学蚀刻工艺和反应机制的成组的输入蚀刻反应参数(自变量)来计算理论上确定的蚀刻轮廓。这些工艺被建模为与表示被蚀刻的特征及其周围的网格中的时间和位置成函数关系。输入参数的示例包括等离子体参数,例如离子通量和化学反应参数,例如将发生特定化学反应的概率。这些参数(并且具体地,在一些实施方式中,等离子体参数)可以从各种源获得,包括从其他模型获得,所述其他模型根据通用反应器配置和例如压力、衬底温度、等离子体源参数(例如提供给等离子体源的功率、频率、占空比)、反应物及其流率等工艺条件来计算这些参数。在一些实施方式中,这种模型可以是EPM的一部分。
如所解释的,EPM将反应参数作为自变量并且通过函数关系(functionally)产生作为响应变量的蚀刻轮廓。换言之,成组的自变量是用作模型的输入的物理/化学工艺参数,并且响应变量是由模型计算得到的蚀刻轮廓特征。EPM采用反应参数和蚀刻轮廓之间的一个或多个关系。所述关系可以包括例如以限定的方式应用于自变量以生成与蚀刻轮廓相关的响应变量的系数、加权和/或其他模型参数(以及反应参数和/或其他模型参数的线性函数、二阶和更高阶多项式函数等)。这样的加权、系数等可以表示上述反应参数中的一个或多个。在本文所描述的优化技术期间调整或调节这些模型参数。在一些实施方式中,一些反应参数是待优化的模型参数,而其他反应参数用作独立的输入变量。例如,化学反应参数可以是可优化的模型参数,而等离子体参数可以是自变量。
通常,“响应变量”表示输出和/或效果,和/或被测试以查看它是否是效果。“自变量”表示输入和/或原因,和/或被测试以查看其是否是原因。因此,可以研究响应变量以查看其是否随着自变量的变化而变化,以及其随着自变量的变化而变化的程度。自变量也可以被称为“预测变量”、“回归量”、“受控制变量”,“受操纵变量”、“解释性变量”或“输入变量”。
如所解释的,一些EPM采用可以被表征为基本的反应机械参数的输入变量(一种类型的自变量),并且该输入变量可以被视为基础化学和物理过程的基础,因此实验工艺工程师通常不对这些量进行控制。在蚀刻轮廓模型中,这些变量在网格的每个位置处并且在由定义的时间步长分隔开的多个时间被应用。在一些实施方案中,网格分辨率可以在约几埃和约微米级之间变化。在一些实施方案中,时间步长可以在大约1e-15和1e-10秒之间变化。在某些实施方式中,优化使用两种类型的机械自变量:(1)局部等离子体参数,和(2)局部化学反应参数。这些参数就它们可以随着位置的变化而变化(在某些情况下归因于网格的分辨率)而言是“局部的”。等离子体参数的实例包括局部等离子体性质,诸如例如离子、自由基、光子、电子、激发物质、沉积物物质等粒子的通量和能量及其能量和角度分布等。化学和物理化学反应参数的实例包括速率常数(例如,特定化学反应将在特定时间发生的概率)、粘着系数、蚀刻的能量阈值、基准能量、定义溅射产量的能量指数、角屈服函数(angularyield functions)及其参数等。此外,参数化的化学反应包括其中反应物包括被蚀刻的材料和蚀刻剂的反应。应当理解,除了直接蚀刻衬底的反应之外,化学反应参数还可以包括各种类型的反应。这种反应的实例包括副反应,该副反应包括寄生反应、沉积反应、副产物的反应等。这些反应中的任何一种都可能影响整体的蚀刻速率。还应当理解,除了上述等离子体和化学反应输入参数之外,模型还可能需要其他输入参数。这种其他参数的实例包括反应位点处的温度,分压或反应物等。在一些情况下,这些和/或其他非机械参数可以输入到输出一些机械参数的模块中。
在一些实施方式中,EPM模型变量的初始(未优化的)值以及在优化期间固定的自变量(例如,在一些实施方式中的等离子体参数)可以从各种来源获得,例如从文献获得,通过其他计算模块或模型计算获得等。在一些实施方式中,可以通过使用模型(诸如对于等离子体参数的情况,由蚀刻室等离子体模型)来确定独立的输入变量,例如等离子体参数。这样的模型可以根据工艺工程师已经(例如,通过旋转旋钮)控制的各种工艺参数来计算可应用的输入EPM参数,所述工艺参数如室环境参数,例如压力、流率、等离子体功率、晶片温度、ICP线圈电流、偏置电压/功率、脉冲频率、脉冲占空比等。
当运行EPM时,一些自变量被设置为用于执行实验的已知或期望的参数值。例如,等离子体参数可以在建模域中的位置处固定为已知或期望值。本文描述为模型的参数或模型参数的其他自变量是被选定为通过下述优化程序调节的那些参数。例如,化学反应参数可以是经调节的模型参数。因此,在对应于给定的测得的实验蚀刻轮廓的一系列运行中,改变模型参数以阐明如何所选择这些参数的值以最佳地优化模型。
EPM可以采取许多不同形式中的任何一种。最终,它们提供自变量和响应变量之间的关系。该关系可以是线性的或非线性的。通常,EPM是本技术领域中称为基于单元的蒙特卡罗表面反应模型(a cell-based Monte Carlo surface reaction model)。这些模型以其各种形式操作以在半导体晶片制造的背景下随时间推移模拟晶片特征的拓扑演变。模型对晶片上的任意径向位置发射具有由等离子体模型或实验诊断产生的具有能量和角分布的伪粒子。伪粒子被统计地加权以表示自由基和离子朝向表面的通量。模型寻址导致在表面上的蚀刻、溅射、混合和沉积的各种表面反应机理,以预测轮廓演变。在蒙特卡罗积分期间,在晶片特征内跟踪各种离子和中性伪粒子的轨迹,直到它们反应或离开计算域。EPM具有用于预测各种材料上的蚀刻、剥离、原子层蚀刻、电离金属物理气相沉积和等离子体增强化学气相沉积的先进的功能。在一些实施方式中,EPM利用两维或三维的直线网格,网格具有足够精细的分辨率以充分地对晶片特征的尺寸进行寻址/建模(尽管在原理上,网格(无论是2D还是3D)也可以利用非直线坐标)。网格可以被视为两维或三维网格点阵列。它还可以被视为表示与每个网格点相关联(以其为中心)的2D的局部区域或3D的体积的单元阵列。网格内的每个单元可以表示不同的固体材料或材料的混合物。选择2D网格还是3D网格作为建模的基础,可以根据被建模的晶片特征的类别/类型而定。例如,2D网格可用于长沟槽特征(例如,在多晶硅衬底中)的建模,在假设沟槽的端部的几何形状与沿着沟槽的远离其端部的长度的大部分发生的反应过程不太相关的情况下,2D网格描绘横截面形状(即,为了该横截面2D模型的目的,假设沟槽是无限的,再次,对于远离其端部的沟槽特征,是合理的假设)的反应过程。另一方面,使用3D网格来对圆形通孔特征(硅通孔(TSV))建模是合适的(因为特征的x、y水平尺寸彼此相同)。
网格间距可以在亚纳米(例如,1埃)至几微米(例如,10微米)的范围内。通常,给每个网格单元分配材料身份,例如光致抗蚀剂、多晶硅、等离子体(例如,在未被特征占据的空间区域中),其可以在轮廓演变期间改变。固相物质由计算单元的身份表示;气相物质由计算伪粒子表示。以这种方式,网格提供晶片特征和周围气体环境(例如,等离子体)的合理详细的表示(例如,用于计算目的),因为晶片特征的几何形状/拓扑随着时间在反应蚀刻工艺中演变。
蚀刻实验和轮廓测量
为了训练和优化在前面部分中呈现的EPM,可以执行各种实验以便像实验允许的那样精确地确定由在各种工艺条件下执行的实际蚀刻工艺产生的实际蚀刻轮廓,各种工艺条件由各种成组的蚀刻工艺参数指定。因此,例如,指定成组的蚀刻工艺参数(例如蚀刻剂流率、等离子体功率、温度、压力等)的第一成组的值,相应地设置蚀刻室装置,使蚀刻剂流入室,激励等离子体等,并且继续蚀刻第一半导体衬底以产生第一蚀刻轮廓。然后,为相同的成组的蚀刻工艺参数指定第二成组的值,蚀刻第二衬底以产生第二蚀刻轮廓,等等。
工艺参数的各种组合可以用于呈现广泛或集中的工艺空间,以适当地训练EPM。然后使用相同的工艺参数组合来计算给EPM的(独立的)输入参数(例如机械参数),以提供可与实验结果进行比较的蚀刻轮廓输出(响应变量)。因为实验可能是昂贵的和耗时的,所以可以采用技术来设计实验,以减少提供用于优化EPM的鲁棒训练集所需要进行的实验的数量。为此目的可以采用诸如实验设计(DOE)的技术。通常,这样的技术确定在各种实验中使用哪些成组的工艺参数。它们通过考虑工艺参数之间的统计相互作用、随机化等选择工艺参数的组合。举例而言,DOE可以确定覆盖围绕已经完成的工艺的中心点的有限范围的参数的少量实验。
通常,研究者将在模型优化程序的早期进行所有实验,并且在优化例程迭代中仅使用这些实验,直到收敛。或者,实验设计者可以进行一些实验以用于早期的优化迭代,并且随后随着优化进展而进行另外的实验。优化程序可以向实验设计者通知要评估的特定参数,并且因此要为稍后的迭代运行特定的实验。
一个或多个原位或离线计量学工具可以用于测量由这些实验蚀刻工艺操作产生的实验蚀刻轮廓。在蚀刻工艺结束时,在蚀刻工艺期间或在蚀刻工艺期间的一个或多个时间进行测量。当在蚀刻工艺结束时进行测量时,测量方法可能是破坏性的,当在蚀刻工艺期间的间隔进行测量时,测量方法通常是非破坏性的(因此不会破坏蚀刻)。适当的计量技术的实例包括但不限于LSR、OCD和横截面SEM。注意,计量学工具可以直接测量特征的轮廓,例如在SEM的情况下(其中实验基本上使特征的蚀刻轮廓成像),或者其可以间接确定特征的蚀刻轮廓,例如在OCD测量的情况下(其中进行一些后处理以根据实际测得的数据倒转时间读出(back-out)特征的蚀刻轮廓)。
在任何情况下,蚀刻实验和计量学程序的结果是成组的测得的蚀刻轮廓,每个轮廓通常包括一系列坐标的一系列值或表示如上所述的特征轮廓的形状的成组的网格值。一个示例如图1(b)所示。然后,蚀刻轮廓可以用作训练、优化和改进如下所述的计算机化蚀刻轮廓模型的输入。
模型参数调整/优化
每个测得的实验蚀刻轮廓提供用于调整计算机化蚀刻轮廓模型的基准。因此,通过应用实验蚀刻轮廓以查看模型如何在其蚀刻轮廓的预测中实际偏离来用蚀刻轮廓模型执行一系列计算。利用该信息,可以改进模型。
图2提供了示出用于调整和/或优化蚀刻轮廓模型(诸如上述的那些)的成组的操作300的流程图。在一些实施方式中,这样的经调整和/或优化的模型减小了(并且在一些情况下基本上最小化了)关联于(指示、量化等)在所测得的作为执行蚀刻实验的结果的蚀刻轮廓与由模型生成的相应的计算蚀刻轮廓之间的组合差的尺度。换句话说,对于不同的实验工艺条件(由所选定的工艺参数的所指定的不同的成组的值所设定——其用于计算给EPM的独立的输入参数),改进的模型可以减小组合的误差。
如图2所示,优化程序300在操作310开始,其中选择待优化的成组的模型参数。同样,这些模型参数可以选定为表征工艺工程师没有控制的基础化学和物理过程的参数。这些模型参数中的部分或全部将根据实验数据进行调整以改进模型。在一些实施方式中,这些模型参数可以是反应参数并且包括反应概率和/或(热)速率常数、反应物粘附系数、用于物理或化学溅射的蚀刻阈值能量、对能量的指数依赖性、蚀刻角屈服依赖性和与角屈服曲线相关的参数等。注意,通常,就特别给定/指定的流入蚀刻室的化学物质的混合物来进行优化(但是应当理解,蚀刻室的化学成分将随着蚀刻工艺的进行而改变)。在一些实施方式中,将反应参数以与其他输入参数(例如等离子体参数)分开的输入文件馈送到EPM中。
在一些实施方式中,模型参数可以包括规程(specification),该规程中的特定化学反应要通过蚀刻工艺来模拟。本领域普通技术人员应理解,对于给定的蚀刻工艺,会有许多正在进行的反应在任何时间在蚀刻室中发生。这些包括主蚀刻反应本身,但它也可以包括主蚀刻工艺的副反应,以及涉及主蚀刻反应的副产物的反应,副产物之间的反应,涉及副产物的副产物的反应等。因此,在一些实施方式中,模型参数的选择涉及选定哪些反应要包括在模型中。推测,包括的反应越多,模型越精确,并且相应的计算蚀刻轮廓越精确。然而,通过包括更多反应增加模型的复杂性,从而增加模拟的计算成本。它还导致有更多的反应参数要优化。如果所添加的特定反应对于整体蚀刻动力学是重要的,则这可能是好的。然而,如果附加反应不是关键的,则添加另外的成组的反应参数可能使得优化程序更难以收敛。再次,关于包括哪些反应的选择以及与这些反应相关联的速率常数或反应概率可以以它们自己的输入文件(例如,与等离子体参数分开)馈送到EPM中。在某些实施方式中,对于给定的成组的反应物物质,每种物质的各种可选的/竞争性的反应途径的概率应当总和为1。并且,再次,应当理解,对于给定/所指定的正流入蚀刻室中以执行蚀刻工艺/反应的化学物质的混合物,通常将作出包含反应概率等的反应规程(例如,在输入文件中)(并且优化将通常是关于该给定混合物的,但是在一些实施方式中,可以看到,对于一种化学混合物所学习的内容可以适用于类似/相关的化学混合物)。
在任何情况下,为了开始图2的流程图中所示的优化程序,通常必须为被优化的各种模型参数(例如反应概率、粘附系数等)选择初始值。这在操作310中完成。初始值可以是在文献中存在的那些,基于其他模拟计算得到的、从实验确定的或从先前的优化程序获知的那些等等。
在操作310中所选择和初始化的模型参数通过在操作320中被赋予多组成组的值的成组的独立输入参数被优化。这种独立输入参数可以包括表征反应室中的等离子体的参数。在一些实施方式中,这些等离子体参数经由与用于反应参数的输入文件(刚刚描述的)分开的输入文件被馈送到EPM中。用于独立输入参数(例如,等离子体参数)的多组成组的值因此指定所选定的独立输入参数的空间中的不同点。例如,如果所选定的待优化的输入参数是温度、蚀刻剂通量和等离子体密度,并且为这些所选定的输入参数选择5组值,则已经在所选定的温度、蚀刻剂通量和等离子体密度的3维输入参数空间中确定了5个唯一点,在该空间中的5个点中的每一个对应于温度、蚀刻剂通量和等离子体密度的不同组合。如上所述,可以采用诸如DOE之类的实验设计程序来选择成组的输入参数。
一旦选定,对于输入参数的每个组合,在操作330中,执行蚀刻实验以便测量实验蚀刻轮廓。(例如,在一些实施方式中,对于输入参数的值的相同组合执行多个蚀刻实验,并且将所得到的蚀刻轮廓测量结果一起求平均值(例如,可能在丢弃异常值等之后)。然后将该成组的基准用于调整和优化模型,具体如下:在操作335中,针对输入参数的值的每个组合计算蚀刻轮廓,并且在操作340中,对于输入参数的所有不同的成组的值,计算表示(相关于、量化等)实验蚀刻轮廓和计算蚀刻轮廓之间的差的误差尺度(an error metric)。
注意,该成组的计算蚀刻轮廓(从其计算误差尺度)对应于先前所选定的如操作310中所指定的成组的模型参数。优化程序的目标是为这些模型参数确定更有效的选择。因此,在操作350中,确定当前所指定的模型参数是否使得在操作340中计算的误差尺度被局部最小化(就模型参数的空间而言),如果不是,则在操作360中该成组的模型参数的一个或多个值被修改,然后用于生成新的成组的蚀刻轮廓(如图2的流程中示意性地所示的那样重复操作335),其后在操作340的重复中计算新的误差尺度。接着,该过程再次进行到操作350,其中确定对于通过误差尺度评估的所有成组的输入参数的模型参数,该模型参数的新的组合是否表示局部最小值。如果是,则优化程序结束,如图所示。如果不是,则在操作360中再次修改模型参数,并且重复该循环。
图3A呈现了用于在蚀刻轮廓模型中精化模型参数的方法470的流程图。如图所示,方法470开始于收集针对一系列受控的蚀刻室参数组生成的实验蚀刻轮廓。在稍后阶段,该方法将这些实验生成的蚀刻轮廓与使用蚀刻轮廓模型产生的理论生成的蚀刻轮廓进行比较。通过比较实验生成的蚀刻轮廓和理论生成的蚀刻轮廓,可以精化由蚀刻轮廓模型使用的成组的模型参数,以提高模型预测蚀刻轮廓的能力。
在所描绘的方法中,过程从操作472开始,其中选择成组的工艺参数以用于计算阶段和实验阶段。这些工艺参数定义了一系列的条件,在这些条件下进行所述比较。每组工艺参数表示用于操作蚀刻室的设置的集合。如上所述,工艺参数的实例包括室压力、基座温度和可在蚀刻室内选择和/或测量的其他参数。替代地或者附加地,每组工艺参数表示正被蚀刻的工件的状况(例如,通过蚀刻形成的线宽和线间距)。
在选择用于实验运行的成组的工艺参数之后(注意,用于EPM优化的成组的独立输入参数将对应于每组成组的工艺参数(和/或从每组成组的工艺参数计算得到),开始实验。这通过关于多个参数组的循环来描绘,并且包括操作474、476、478和480。操作474简单地表示递增到用于运行新实验的下一工艺参数组(参数组(i))。一旦参数组被更新,该方法就使用当前参数组中的参数来运行新的蚀刻实验(框476)。接下来,该方法在以当前参数组运行的蚀刻实验之后产生并保存在工件上测得的实验蚀刻轮廓(框478)。“产生并保存蚀刻轮廓”操作提供在降维空间中的蚀刻轮廓,如上所述的,例如蚀刻轮廓的主要分量表示。
每次在实验中使用新的工艺参数组时,该方法判定是否还有更多的参数组要考虑,如决策框480所示。如果存在附加的参数组,则如框474所示,初始化下一个参数组。最终,在考虑了所有初始定义的工艺参数组之后,决策框480判定没有更多需要考虑。在这时,过程切换到工艺流程的模型优化部分。
最初,在流程的模型优化部分中,如框482所示,初始化成组的模型参数(模型参数(j))。如所解释的,这些模型参数是模型用来预测蚀刻轮廓的参数。在该工艺流程的背景中,修改这些模型参数以提高EPM的预测能力。在一些实施方式中,模型参数是表示在蚀刻室中发生的一个或多个反应的反应参数。在一个实例中,模型参数是反应速率常数或特定反应发生的概率。此外,如本文别处所述,蚀刻轮廓模型可以采用在优化例程期间保持固定的其他参数。这种参数的实例包括物理参数,例如等离子体条件。
在操作482初始化模型参数之后,该方法进入优化循环,其中它生成与用于在实验循环中生成实验蚀刻轮廓的每个工艺参数组对应的理论蚀刻轮廓。换句话说,该方法使用该EPM来预测对应于每个工艺参数组(即对于所有的不同参数组(i))的蚀刻轮廓。然而,注意,对于这些工艺参数组中的每一个,实际输入到EPM中(以运行它)的是对应于给定的工艺参数的成组的独立输入参数。对于一些参数,独立输入参数可以与工艺参数相同;但是对于一些参数,可以从物理工艺参数导出/计算出(实际馈送到EPM中的)独立输入参数;因此它们彼此对应,但它们可以不相同。因而应当理解,在图3A中的该优化循环(操作482-496)的背景中,EPM(关于它将是非常精确的)相对于与“参数组(i)”对应的成组的独立输入参数运行,而在实验循环(操作472-480)中使用对应于“参数组(i)”的工艺参数来运行实验。
在任何情况下,最初在该循环中,该方法递增到在操作472中最初设置的参数组中的下一个。参见框484。利用该选定的参数组,该方法使用当前的成组的模型参数运行蚀刻轮廓模型。参见框486。然后,该方法为参数组和模型参数(参数组(i)和模型参数(j))的当前组合产生并保存理论蚀刻轮廓。参见框488。“产生和保存蚀刻轮廓”操作提供在降维空间中的蚀刻轮廓,例如蚀刻轮廓的主要分量表示。
最终,在该循环中所有参数组被考虑。在该点之前,决策框490确定附加的参数组保留,并将返回控制到框484,其中该参数组递增到下一个参数组。运行模型以及产生和保存理论蚀刻轮廓的过程针对每个参数组(参数组(i))重复。
当对于当前正在考虑的模型参数(模型参数(j))没有要考虑的其余参数组时,工艺退出该循环并计算理论蚀刻轮廓和实验蚀刻轮廓之间的误差。参见框492。在某些实施方式中,对于工艺参数的所有参数组(i)确定误差,而不仅仅是其中的一个。
该方法使用在框492中确定的误差来判定针对模型参数的优化例程是否已收敛。参见框494。如下所述,可以使用各种收敛标准。假设优化例程尚未收敛,则过程控制被引导到框496,在框496中该方法生成可以改进模型的预测能力的新的成组的模型参数(模型参数(j))。具有该新的成组的模型参数时,过程控制返回到由框484、486、488和490定义的循环。虽然在该循环中,参数组(i)被重复递增,并且每次模型运行以产生新的理论蚀刻轮廓。在考虑所有参数组之后,在框492再次确定理论蚀刻轮廓和实验蚀刻轮廓之间的误差,并且在框494再次应用收敛标准。假设尚未满足收敛标准,则该方法生成另一成组的模型参数以便以刚刚描述的方式进行测试。最终,选定满足收敛标准的成组的模型参数。然后完成该过程。换句话说,图3A所示的方法已经产生了提高蚀刻轮廓模型的预测能力的成组的模型参数。
在图3B中描述了相关的程序。如图所示,为不同的衬底特征结构而不是为不同的工艺条件生成实验蚀刻轮廓和理论蚀刻轮廓。换句话说,基本工艺流程是相同的。在一些实现方式中,特征结构和工艺条件对于实验操作和理论操作而言是变化的。
不同的特征可以包括不同的“线”和“节距”几何形状。参见图3B-1。节距是指覆盖正被蚀刻的特征的将被重复多次的最小单位单元宽度。线是指两个相邻侧壁之间的总厚度,假设对称。作为示例,该方法可以运行L50P100、L100P200、L100P300、L75P150等的重复几何形状。其中数字表示以纳米为单位的线宽(line width)和节距(pitch)。
在所示的实施方式中,过程471开始于选择蚀刻轮廓模型的固定和变化的参数(模型参数)。在一些实施方式中,这些可以是物理和化学反应参数。另外,选择衬底特征。参见操作473。
对于每个特征几何形状(如操作475和481所示的递增的特征组(k)),该方法使用当前特征几何形状运行蚀刻工艺,生成实验蚀刻轮廓(实验蚀刻轮廓(k)),并且保存蚀刻轮廓。参见操作477和479。如前所述,每个实验蚀刻轮廓以降维表示(a reduced dimensionalrepresentation)保存。
此后,该方法初始化用于调节的模型参数(模型参数(j))。参见操作483。对于每个特征几何形状(在操作485和491中递增成为特征组(k)),该方法运行蚀刻轮廓模型,产生理论蚀刻轮廓(理论蚀刻轮廓(k)),并保存该蚀刻轮廓。参见操作487和489。如前所述,每个理论蚀刻轮廓以降维表示保存。
对于在包含操作487和489的循环中考虑的每个成组的模型参数(j),该方法将理论蚀刻轮廓和实验蚀刻轮廓进行比较以确定所有衬底特征组的蚀刻轮廓之间的误差。参见操作493。如果如在操作495确定的过程已收敛,则该过程完成并选定当前的模型参数。如果过程尚未收敛,则该方法生成新的成组的模型参数(j),并再次返回到由操作485、487、489和491定义的循环。
在一些实施方式中,为每个特征组选择单独的模型参数组。在这种情况下,该方法可绘制或者换言之确定线/节距比(或特征的另一特性)与最终收敛模型参数之间的关系。如果收敛模型参数值相当地恒定,可能具有一些噪声,则该方法使用改进的蚀刻轮廓模型的平均模型参数值。如果收敛模型参数值呈现出趋势,则该方法可以使用多项式拟合,导出可用于为每个特征组(例如,线和节距几何特征)选择模型参数值的函数。
将显而易见的是,特征组、工艺参数组或其他变量用于进行多个实验,并因此产生多个实验确定的蚀刻轮廓。在一些实现方式中,这些蚀刻轮廓(和相关联的参数组)的一半或一些其他比例用于训练,如上述流程图所示,并且剩余的蚀刻轮廓用于验证。训练蚀刻轮廓生成经调整的模型参数,这些参数在蚀刻轮廓模型中使用并且通过应用经调整的模型来预测验证组的蚀刻轮廓进行验证。如果验证组的实验蚀刻轮廓和理论蚀刻轮廓之间的误差在统计上高于在使用训练组收敛时存在的误差,则如前所述使用不同的训练组来调整模型。
关于迭代非线性优化程序的细节
刚刚在图2的背景中描述的模型参数优化程序通常是迭代非线性优化程序(例如,其优化通常与输入参数成非线性函数关系的误差尺度),并且因此,可以使用本领域中用于非线性优化的已知的各种技术。参见例如:Biggs,M.C.,“Constrained MinimizationUsing Recursive Quadratic Programming,”Towards Global Optimization(L.C.W.Dixon and G.P.Szergo,eds.),North-Holland,pp 341–349,(1975);Conn,N.R.,N.I.M.Gould,and Ph.L.Toint,“Trust-Region Methods,”MPS/SIAM Series onOptimization,SIAM and MPS(2000);Moré,J.J.and D.C.Sorensen,“Computing a TrustRegion Step,”SIAM Journal on Scientific and Statistical Computing,Vol.3,pp553–572,(1983);Byrd,R.H.,R.B.Schnabel,and G.A.Shultz,“Approximate Solution ofthe Trust Region Problem by Minimization over Two-Dimensional Subspaces,”Mathematical Programming,Vol.40,pp 247–263(1988);Dennis,J.E.,Jr.,“Nonlinearleast-squares,”State of the Art in Numerical Analysis ed.D.Jacobs,AcademicPress,pp 269–312(1977);Moré,J.J.,“The Levenberg-Marquardt Algorithm:Implementation and Theory,”Numerical Analysis,ed.G.A.Watson,Lecture Notes inMathematics 630,Springer Verlag,pp 105–116(1977);Powell,M.J.D.,“A FastAlgorithm for Nonlinearly Constrained Optimization Calculations,”NumericalAnalysis,G.A.Watson ed.,Lecture Notes in Mathematics,Springer Verlag,Vol.630(1978);将这些文献中的每一份通过引用将整体并入本文以用于所有目的。在一些实施方式中,这些技术优化受到可以作用于输入参数和/或误差尺度上的某些约束的目标函数(这里是误差函数/尺度)。在某些这样的实施方式中,约束函数本身可以是非线性的。例如,在计算蚀刻轮廓通过由EPM输出的成组的堆叠的梯形表示的实施方式中,误差尺度可以被定义为由这些堆叠的梯形的边界表示的面积与测得的实验蚀刻轮廓的面积之间的差。在这种情况下,误差尺度是由EPM输出的响应变量的非线性函数,因此从刚刚描述(和/或来自并入的参考文献)的使得非线性约束能够规范的技术中选择受约束的优化技术。注意,在图2所示的流程图的背景中,这些不同的程序对应于在操作360中如何修改一个或多个模型参数,以及在操作350中如何检测和处理误差中的一个或多个潜在的局部最小值。
在一些实施方式中,用于确定如图2所示的经改进/调整的模型参数的迭代非线性优化程序可以被分成多个阶段,并且在某些这样的实施方式中,不同的优化阶段可以对应于被蚀刻的半导体衬底的表面上的不同的材料层。该方法还可以通过减少被改变的输入参数的数量来减少计算负担,并且简化误差尺度的计算。例如,如果待蚀刻的衬底包括多个堆叠的不同顺序沉积的材料层,则因为不同层通常具有不同的材料组成,因此,通常不同的化学特性表征在每个层中发生的局部蚀刻工艺(例如不同的蚀刻反应(或多个反应),不同的副反应,副产物之间的不同反应),或者即使发生相同(或相似)的化学反应,它们通常可以以不同的速率,以不同的化学计量比等发生。因此,为了建立对应于整个多层堆叠的蚀刻的蚀刻轮廓模型(EPM),馈入模型的输入参数通常包括对应于不同堆叠层的不同参数组。如上所述,这些组可以包括指示哪些化学反应将被包括在蚀刻工艺的建模中的参数,以及表征反应本身的参数(反应概率,粘附系数等)。
然而,应认识到,优化协议不一定需要同时优化每个参数,例如,一些可在图2的操作360中保持固定,而其他被允许“浮动”并且在如图中示意性示出的一个或多个特定循环/轮次的优化中被修改。因此,基于观察到在每个层中发生的化学过程在某种程度上对于该层是局部的,在一些实施方式中,可以通过以下加速优化:单独地调整与一个层相关联的模型参数,同时保持与其他层相关联的参数固定,并且此后选择另一层,使得其参数能“浮动”,同时保持用于其他层的参数固定,等等,直到所有层被单独调整。逐层调整过程然后可以重复多次,每次循环通过所有层,直到获得一定程度的优化,并且在这时,基于认识到利用与已被单独优化的每个层相关联的参数,全优化将更有效地收敛(并且误差尺度可能达到更好地局部最小化),可以执行所有层的完全优化,即,使得用于所有层的模型参数能够变化/“浮动”。更进一步,可以重复整个逐层程序以进一步改进结果,即,通过循环经过所述层一次或多次来执行层特定的优化,然后执行全局优化,从而使得模型参数的所有层能浮动。注意,在图2的背景中,选择某些模型参数并使得它们能“浮动”(并且因此针对特定层单独进行优化),同时将其他模型参数保持固定,这将作为图2的参数修改操作360的一部分来完成(在这些和类似类别的实施方式中都如此)。
作为说明前述单个逐层优化程序的具体示例,考虑对在蚀刻掩模下面的层的蚀刻建模的情况,其中蚀刻掩模层和其下面的层被蚀刻到一定程度。因此,这构成了2-层蚀刻模型,其中可以在对应于两个层的模型参数完全同时优化之前可以单独优化在两个层中的每一个层的参数。
因此,通过指定所有模型参数的值开始,运行模型以对输入参数的所有成组的值(代表不同的实验蚀刻条件)生成计算蚀刻轮廓,并且对于对应于独立输入参数的多组成组的值的所有轮廓,计算表示在实验蚀刻轮廓和计算蚀刻轮廓之间的差的误差尺度。然后可以继续进行,即选择蚀刻掩模下面的层(例如介电层)以进行单独的层特定的优化,修改与用于优化的该(介电)层相关联的一个或多个模型参数,对独立输入参数的所有成组的值重新运行模型,计算新的误差尺度,再次修改与介电层相关联的一个或多个模型参数,重新运行模型,重新计算误差等等,直到获得关于介电层的误差的局部最小值。
然后将介电层的模型参数保持固定在这些值,选择蚀刻掩模层的模型参数以用于单独优化,修改它们(蚀刻掩模层的模型参数)的值中的一个或多个,重新运行模型,重新计算误差等,直到获得关于蚀刻掩模层的误差的局部最小值。在这时,可以执行对两个层的模型参数的全优化,或者在一些实施方式中,在这样执行之前,可以执行单独的介电层和掩模层优化的一个或多个附加循环,使得全优化更有效(例如,更快地收敛,或收敛到总误差尺度的更好的最终局部最小值)。
还应当理解,在一些情况下,前述逐层优化程序不一定必须限于一次仅调节单个单独的层。例如,如果正在对一个6层堆叠的蚀刻进行建模,则前述逐层优化程序的一个变体将是选择成对的层以同时进行调节(即同时使对应于成对的相邻的层的模型参数浮动)并且对于3对依次进行,可能重复3步循环多次,然后对所有层的模型参数执行全同步优化;如前所述,可选地,重复整个逐层程序(或者在这种情况下,两层两层地进行逐层程序),直到对于整个堆叠的误差的局部最小值被确定。
还可能的是,数值优化程序(无论是在全优化之前逐层地执行,还是直接对所有层执行全优化)可能导致蚀刻轮廓尺度中的多个局部最小值,具体取决于优化的起始点(即,取决于为模型参数所选定的初始值)以及其他因素,因此可能存在许多局部最小值,优化程序可以潜在地将局部最小值识别为表示改进的(和/或最优的)模型。在许多局部最小误差的情况下,可以通过为这些模型参数定义物理上真实的上边界和下边界而不用考虑许多潜在的成组的模型参数。在一些实施方式中,可以针对起始点(模型参数的初始值)的多个选择来执行前述数值优化,以便潜在地确定多个局部最小值,并因此确定多个候选的成组的模型参数,可以从其中选择最优选的(在一些实施方式中是可能的,因为其具有满足前述提到的物理上真实的上限和下限的所有候选者的最低计算误差尺度)。
降维和主成分分析
在一些实施方式中,蚀刻轮廓模型在计算蚀刻轮廓的演变期间输出在每个时间步长的在大量网格点/网点(单元)处的值。对应于每个单元或网格点的这些值映射出计算蚀刻轮廓的形状。这样的表示计算蚀刻轮廓的网格点/网点的示例在图1(a)中示出,其中每个网格点/网点具有指示在蚀刻处理期间在该时间时空间的该区域是否被该特征占据的值。在一些实施方式中,网格的表示蚀刻轮廓的竖直维数(vertical dimension)为至少约5,或至少约10,或至少约20。根据实施方式,在竖直相邻网点之间的垂直距离的最小值可以选定为1埃,并且可以大到几埃,例如5埃,或10埃,或甚至20埃。
在实践中,希望选择相邻网点/网格点之间的距离足够小以提供特征形状在其随时间演变时的合理准确的表示(其可能取决于轮廓的复杂性),但是不会比实现这种合理表示所必需的距离小很多(或不会比实现这种合理表示所必需的距离小)(因为较多的网格点需要更多的计算时间)。基于相同的考虑,将选择相邻网格/网格点之间的(在晶片平面中的)水平间距,但是通常水平间距和竖直间距将被选择为相同(即,均匀网格)或大致相当。然而,这并不意味着竖直网格维数和水平网格维数必然相同,因为被建模的特征的宽度不一定与被建模的特征的高度相同。因此,水平维数(跨越给定方向的水平点的数量,在2D中的x维数,在3D中的x维数和y维数)可以取决于是否仅对特征的侧壁进行建模,是否对整个特征进行建模(它的跨度从一个轮廓边缘到另一轮廓边缘),是否对多个相邻特征进行建模等。
如上所述,由蚀刻轮廓模型输出的值的网格提供了关于在物理空间中特征轮廓的边缘在不同竖直高度处的位置的估计。根据这个信息(根据网点处的这些值),可以计算不同高度处的特征宽度,或者在另一个视图中,对于每个高度计算(相对于某个基线的)边缘的水平坐标。这在图1(b)中示出。然后,该成组的坐标可以被视为多维空间中的表示特定特征轮廓的点。该向量空间可以是正交空间,或者它可以是非正交空间,然而,可以将该表示线性变换为正交空间。如果这样,则变换后的点的坐标是相对于该空间中的成组的正交轴的距离。在任何情况下,当在本文档中提及“轮廓坐标”时,这通常指轮廓形状的任何适当的(近似的)数学表示。
在任何情况下,因为蚀刻轮廓模型可以输出大量的“轮廓坐标”(以下包括刚刚描述的点的网格/网),并且目标是使它们与测得的实验蚀刻轮廓精确匹配,减少蚀刻轮廓模型中的误差(迭代地减少如上参照图2所描述的不同实验工艺条件下合并的误差)可能是计算上要求的任务。例如,如果成组的m个测得的实验蚀刻轮廓要逐点地匹配于由n个轮廓坐标组成的计算蚀刻轮廓,则这等于优化模型以拟合数据集m x n个数据点。
然而,结果是,在蚀刻轮廓(无论是测得的还是算得的)中存在潜在的统计相关性,并且可以利用这些相关性来优化以便以数值上远远更易于处理的形式改写优化问题。例如,虽然轮廓坐标的精细网格可以由许多数据点组成,但是从统计学观点来看,这些坐标的某些组合的值彼此相关。为了给出简单但说明性的示例,竖直相邻的坐标将倾向于彼此相关,仅仅因为随着上下移动轮廓,对于与相邻网格点相关联的短长度范围,蚀刻特征的宽度将不会过度地改变。轮廓坐标之间的相关性的更复杂的示例涉及轮廓形状的类型,轮廓形状通常可以通过改变工艺参数的某些组合来实现。图4示出了几个实施例。例如,可以单独或彼此组合地调整某些工艺参数,如图4所示,以使蚀刻轮廓向内或向外弯曲,并且映射出轮廓的这种弯曲的轮廓坐标(或网格点)因此在统计上彼此相关。同样,也如图4所示,通过单独地或组合地调节各种工艺参数获得的蚀刻轮廓可以呈现向下或向上的锥度,因此,轮廓坐标可以与改变一个或多个工艺参数趋向于导致这种锥形效应的程度相关。基础轮廓相关结构的两个其他示例是顶部锥形和底部锥形,也如图4所示。同样,这些基础轮廓结构是以下事实的表现:工艺参数的变化倾向于引起轮廓的整体形状的变化,而不是在轮廓上的某些点处具有局部效应而不影响其他点。这当然是基础物理和化学与蚀刻工艺相关联的结果。
如上所述,由于这些基础统计相关性,因此上面呈现的(关于图2中的流程图描述的)优化问题可以以更适合迭代优化技术的形式改写。这样做的一种方式是识别几种类型的规范轮廓形状,并且根据这些规范形状表达测得蚀刻轮廓和/或计算蚀刻轮廓,例如通过将总轮廓(在每个轮廓坐标处)写为该成组的规范轮廓形状(在每个轮廓坐标处)的加权平均进行。即,成组的向量表示规范轮廓形状,并且总轮廓可以大致表示为这些向量的线性组合。以这种方式,可以利用表示轮廓的线性组合的系数/权重中的基础统计相关性和模型变化,而不是对所有单个的轮廓坐标中的变化建模。例如,如果选择弓形和锥形(参见图4)作为规范形状,则对例如m=100的轮廓坐标建模的问题被归结为线性组合中的用于弓形和锥形的2个系数的建模变化,即造成从100降维到2。哪些规范形状是有用的可以取决于工艺/层类型。所描绘的方法提供从实验数据或从利用EPM执行模拟中提取这些形状的数值方式。
为了使该策略有效,规范形状必须提供在分析中涉及的不同轮廓形状的良好的(尽管不是精确的)表示。表示中包括的规范形状越独立,表示将越精确(在规范形状的向量空间中)。因此,问题变成了要使用什么样的规范形状,以及包括多少,认识到包括更多的规范形状使得分析更准确,但是也使得它在计算上更昂贵,并且在迭代优化的背景下,它可能影响优化收敛或者收敛为合乎期望的局部最小值的能力。
这样做的一种方式是使工艺工程师基于他们过去的经验识别他们观察到的经常发生在他们的蚀刻实验中的几种类型的规范轮廓形状。这种方法的优点是简单。潜在的缺点是其是特设的(仅仅基于工艺工程师的经验和直觉),并且它不提供任何有关确定何时足够数量的轮廓形状已经被包括在分析中的方式。在实践中,工艺工程师识别的任何规范轮廓形状将被包括,但是这当然可能不足以提供精确的表示。更重要的是,这种类型的方法不会识别先前未被识别的轮廓数据中的新的相关性,或者因为在先前的工作中形状不是明显的,或者因为它是利用不同的基础物理和化学过程的新蚀刻工艺发生的结果。
另一种方法是将降维程序建立在可以自动地识别重要的规范轮廓形状的统计方法,以及提供需要包括多少形状以便提供足够精确的表示的估计。一种用于将这个实现的数据分析技术是主成分分析(PCA),其使用奇异值分解(SVD),一种来自数值线性代数的矩阵分解技术。PCA技术和各种应用的描述可以(例如)在以下文献中找到:Jackson,J.E.,“AUser's Guide to Principal Components,”John Wiley and Sons,p.592.[2](1991);Jolliffe,I.T.,“Principal Component Analysis,”2nd edition,Springer(2002);Krzanowski,W.J.,“Principles of Multivariate Analysis:A User's Perspective,”New York:Oxford University Press(1988);通过引用将其各自整体并入本文以用于所有目的。
如在前述参考文献中所描述的,PCA将成组的向量作为其输入(在这种情况下,每个向量是代表单个轮廓的一系列蚀刻轮廓坐标),并且输出被称为可以被存储的主成分(PC)的新的成组的n个正交向量,以使得PC1-i(其中i≤n)构成用于表示输入轮廓向量的“最佳”第i个维度子空间;“最佳”是指在最小二乘意义上的统计上最优的,即,从PCA确定的PC的第i个维度子空间使每个输入向量与其在所选的PC的子空间中的线性表示之间的组合RMS误差最小化。当然,包含的PC越多,子空间的尺寸越大,并且输入轮廓数据的表示越好;然而,由于通过PCA构造的子空间是最优的,所以期望不需要许多PC,并且通过添加附加的PC而捕获的在基础数据中的统计变化量可以通过基础SVD的奇异值来评估。因此,通过使用PCA来识别作为蚀刻轮廓的数据组的基础的规范轮廓形状,可以构造用于表示蚀刻轮廓的降维的线性模型,并且以自动的(不依赖于工艺工程师的专业知识)并且具有识别轮廓数据中的新的相关性的能力的方式这样做,并且以对提供良好表示所需要的形状/维度的多少进行统计估计的方式这样做。
前述方法的结果是可以在不显著地损害统计误差的情况下实现显著的降维,并且可以显著减少用于适应上述数值优化程序所需要的数据点的数量。还要注意,在图2所示的优化程序中存在用于实现降维的PCA程序的不同的可行策略。例如,在图2的操作340中计算误差尺度的方式的背景中,参考图2,采用降维程序的一种方式是将计算蚀刻轮廓和对应的实验蚀刻轮廓单独地投射到经降维的子空间(其可以通过PCA构造)上,然后计算投影到该子空间的轮廓之间的差。另一种方式是获取计算蚀刻轮廓和对应的实验蚀刻轮廓之间的差,将该差投射到代表实验蚀刻轮廓和计算蚀刻轮廓之间的潜在的差的经降维的子空间上,并且将总误差尺度视为这些向量在该差-子空间中的组合长度。
另外注意,PCA还可以用于在维度上减少独立输入参数的空间中的自变量的数量,提供与刚刚描述的益处类似的益处。在一些实施方式中,降维程序可以诸如例如通过对输入参数和对应的测得的蚀刻轮廓的级联向量执行PCA而同时应用于轮廓坐标空间和输入参数空间两者。
优化的计算机化蚀刻模型的应用
本文公开的优化的计算机化蚀刻模型可以在半导体处理工作流程中在需要蚀刻工艺的详细评估和表征的任何地方都是有用的。例如,如果正在开发新的蚀刻工艺,则该模型可以用于为工艺参数的许多组合确定蚀刻轮廓特性,而不必进入实验室并单独执行每个实验。以这种方式,优化的蚀刻轮廓模型可以实现更快的工艺开发周期,并且在一些实施方式中可以显著减小微调目标轮廓所需的工作量。
光刻操作和掩模显影也可以从精确的蚀刻轮廓建模中获益良多,因为估计边缘放置误差在光刻工作中通常是相当重要的,并且轮廓形状的精确计算提供了该信息。
2016年12月1日提交的美国专利申请No.15/367,060描述了边缘放置误差检测和光刻掩模设计,该专利申请通过引用以其整体并入本文。请注意,至少有两个级别的设计布局校正可能可适用于此背景下:光刻和蚀刻。换句话说,可以使用基于光学的考虑因素和基于蚀刻的考虑因素两者来确定掩模布局。使用如本文所述制备的模型确定基于蚀刻的考虑因素。
为了使用如本文所述确定的布局来制造光刻掩模,工艺开始于所谓的坯料,其包括涂覆有铬层和抗蚀剂层的玻璃基板。有时使用不是铬的材料或除了使用铬以外还使用其他材料。例如,衰减相移掩模使用附加层,例如硅化钼层。抗蚀剂可以是正或负抗蚀剂。在电子束暴露时,在抗蚀剂上形成图案,该图案可以通过蚀刻工艺转移到下面的铬层中。铬在光刻掩模上提供不透明区域,其在半导体晶片暴露期间投射阴影。
在半导体器件制造期间,光刻掩模的制造是类似的光刻步骤。然而,抗蚀剂的暴露是通过电子束而不是光(例如,深UV)进行的。将坯料暴露于电子束辐射,该电子束辐射在掩模设计布局所指定的位置处照射在抗蚀剂上,其至少部分地使用本文所述类型的EPM来确定。随后,显影掩模以产生布局的图案。然后通过适当的蚀刻工艺(例如,等离子体或湿法蚀刻)将现在形成的抗蚀剂图案转移到下面的铬。此后,除去抗蚀剂并用薄膜覆盖暴露的铬图案以防止污染。
本文公开的优化模型还可用于解决交互问题:其中期望特定目标蚀刻轮廓并且希望发现用于实现它的工艺参数(或EPM输入参数)的一个或多个特定组合。再次,这可以通过实验试错法来完成,但是从给定的成组的工艺参数(或EPM输入参数)和条件产生的蚀刻轮廓的精确建模可以替代所需要的实验,或者至少在探索工艺/输入参数空间的初始阶段这样,直到可以为完整的实验研究识别出良好的候选者。在一些实施方式中,实际上以完全自动化的方式数字地反演模型,即迭代地定位生成给定的蚀刻轮廓的成组的参数,这可能是可行的。再一次,蚀刻轮廓坐标空间(经由PCA)的降维以及所期望的蚀刻轮廓在该空间上的投射可以使得该数值反演更可行。
基于本文提供的公开内容,EPM可用于促进工艺窗和硬件优化。在一些实施方式中,EPM用于确定未修改的现有反应器或反应器设计的成组的参数(例如,工艺窗)。在一些实施方式中,EMP用于确定改进的反应器设计,包括但不限于反应器的组件。例如,EPM可以建议修改喷头设计(例如,改变现有设计的孔图案或内部流线)。在其他示例中,EPM可以建议修改等离子体产生器设计(例如,改变现有设计的CCP电极或ICP线圈的配置和/或放置)。在一个示例中,EPM可以建议改变晶片基座的设计或位置。在又一个示例中,EPM可以建议改变室壁的位置或形状。
在某些实施方式中,优化的EPM可以与蚀刻器装置集成或者集成到部署一个或多个蚀刻器装置的半导体制造设施的基础结构中。优化的EPM可以用于确定对工艺参数的适当调整以提供期望的蚀刻轮廓或者理解工艺参数的变化对蚀刻轮廓的影响。因此,例如,用于在制造设施内处理半导体衬底的***可以包括用于蚀刻半导体衬底的蚀刻器装置,蚀刻器装置的操作通过由实现优化的EPM的控制器控制的成组的独立输入参数来调节。如下所述,用于控制蚀刻器装置的操作的合适的控制器通常包括处理器和存储器,存储器存储优化的EPM,并且处理器使用存储的EPM来针对成组的输入工艺参数的给定的成组的值计算蚀刻特征轮廓。在计算轮廓之后,在一些实施方式中,控制器可以(响应于所计算的轮廓的形状)通过改变该成组的独立输入参数的一个或多个值来调整蚀刻器装置的操作。
在一些实现方式中,该模型用于实时原位监测和处理光学信号,以从原位光学信息(例如,实时终点或关键尺寸监测)生成几何蚀刻参数。可以在下面描述的任何反应器配置中提供这种原位监测和处理能力(例如,电容耦合等离子体反应器和电感耦合等离子体反应器)。在某些实施方式中,特征表征过程(例如,端点评估)在大约100ms或更短的时间内完成处理(从其接收诸如光学测量值之类的输入变量值的时间开始)。在某些实施方式中,特征表征算法在大约20ms或更短的时间内完成处理。例如,可以在具有关键阶跃变化要求的应用中或在高蚀刻速率工艺(例如,在少于约一分钟内完成的蚀刻工艺)中采用这种快速处理。在具有由处理方案引起的许多变化的工艺中(例如在RF脉冲或气体脉冲中)或当晶片结构本身具有复杂的结构(例如在交替材料的堆层中)时,有时对于多个(例如,一百或更多个,或一千或更多个)时间样本中的每一个,可能需要数据阵列(例如,数千个)。模型的执行时间还取决于所使用的算法类型。在一些实现方式中,模型处理从蚀刻工艺的开始到当前时间的光谱信息的时间演变中的全部或许多。这可能需要例如利用多路主成分分析(PCA)和多路偏最小二乘(PLS)创建大量模型,其中每个模型比较从蚀刻开始到当前时间步长的光学测量轨迹与相应时间间隔的历史轨迹。随着蚀刻时间变长,这些模型在模型校准期间和实时工艺监测期间可能已经增加了计算要求。在这样的情况下,***可以配置有附加处理能力,例如具有大量缓冲空间、多线程和/或多核的处理器。
在某些实施方式中,该模型仅在有限的波长范围(或光信号的其他方面)上使用光输出信号,可以选择该光输出信号以确定感兴趣的几何参数。该范围内的信号用作模型的自变量(或一组自变量)。在一些这样的实现方式中,许多可用光信号不用作输入。所选范围可表示可由计量工具测量的整个值范围的小部分(例如,小于约10%或甚至离散值)。使用选定范围作为模型输入可能需要较少的计算,并且因此需要更快的计算,以确定蚀刻特征的几何形状。它还可以允许计算选定的因变量而不受相关几何参数的干扰;例如,可以在没有与关键尺寸强烈相关的输入信号的显著干扰的情况下计算蚀刻深度。例如,第一波长范围可以与蚀刻深度强烈相关,而不同的波长范围可以与关键尺寸强烈相关但仅与蚀刻深度弱相关。为了避免模糊信号,聚焦于蚀刻深度的工艺可以仅使用第一波长范围内的光信号。
通常,可以与所公开的优化的EPM一起使用的蚀刻器装置可以是适于通过从半导体衬底的表面移除材料来蚀刻半导体衬底的任何种类的半导体处理装置。在一些实施方式中,蚀刻器装置可以构成电感耦合等离子体(ICP)反应器;在一些实施方式中,其可以构成电容耦合等离子体(CCP)反应器。因此,用于与这些公开的优化EPM一起使用的蚀刻器装置可以具有处理室、用于在处理室内保持衬底的衬底保持器、以及用于产生处理室内的等离子体的等离子体产生器。该装置可以进一步包括一个或多个阀控制的用于使一种或多种工艺气体流入处理室的工艺气体入口、流体连接到用于从处理室排出气体的一个或多个真空泵的一个或多个气体出口等等。以下提供关于蚀刻器装置(通常也称为蚀刻反应器或等离子体蚀刻反应器等)的细节。
通过反射光谱匹配技术优化蚀刻轮廓模型
本文公开的蚀刻轮廓(EP)模型(EPM)优化技术也可以在反射光谱空间或在从光谱反射的空间产生的经降维的子空间(RDS)中执行。换句话说,通过将(用EPM生成的)计算反射光谱与实验测得的反射光谱匹配来进行EPM优化,每个光谱代表在一系列波长下从衬底表面上的蚀刻特征反射的电磁辐射的强度。用于优化的反射光谱组(通过EPM产生的光谱和实验测得的光谱两者)也可以对应于一系列蚀刻时间步骤(即,表示一或多个蚀刻工艺的不同时间快照)。如上详细讨论的,由于在蚀刻工艺期间蚀刻轮廓随时间而演变,所以EPM通常计算理论蚀刻轮廓,并且因此通过在优化中包括来自不同蚀刻时间步骤的反射光谱,优化模型对于在该优化中使用的蚀刻时间序列而言是统计有效的。
光谱匹配(SM)优化过程遵循上述的通用EPM优化框架,例如参考图2,不同之处在于SM优化根据光谱反射操作而不是根据蚀刻轮廓坐标操作。为此,因为EPM的典型输出是由一系列蚀刻轮廓坐标表示的计算蚀刻轮廓,所以通过模拟电磁辐射(EM)从所述计算蚀刻轮廓的反射,产生计算反射光谱。本领域中已知的“严格耦合波分析”(RCWA)构成可用于此目的的一种计算程序,但是可以采用用于模拟EM辐射与所考虑的衬底特征的相互作用的任何合适的过程。
在任何情况下,利用从EPM产生反射光谱的能力,可以实现一般的过程,以根据光谱反射来优化所述EPM。现在相对于图5进行描述,其呈现了示出用于调整和/或优化蚀刻轮廓模型的一组操作601的流程图。
如上所述,并且在一些实施方式中,这样的调节和/或优化的模型减少(并且在一些情况下基本上最小化)关联于(指示、量化等)作为执行蚀刻实验的结果而测得的蚀刻轮廓与从模型生成的相应的计算蚀刻轮廓之间的组合差的尺度。换句话说,改进的模型可以减少在不同的实验工艺条件(如通过所选定的工艺参数的不同的成组的指定值所指定的-其用于计算输入到EPM的独立输入参数)下的组合误差。
如图5所示,基于反射光谱的优化过程601在操作610开始,其中选择要优化的成组的模型参数以及它们的初始值的规范,同样,这些模型参数可以被选择为表征基础化学和物理过程的参数(反应概率、粘附系数等),这些中的一些或全部将基于实验数据进行调整以改进模型。初始值可以是文献中找到的值,它们可以基于其他模拟计算、从实验确定、或者从先前的优化过程获知等。
然后通过在操作620中被选择并被赋予多组成组的值的成组的独立输入参数,对在操作610中选择和初始化的模型参数进行优化。这种独立输入参数可以包括例如表征反应室内的等离子体的参数:温度、蚀刻剂通量、等离子体密度等。对于独立输入参数的值的每个组合,在操作630中执行蚀刻实验以测量实验蚀刻反射光谱。(在一些实施方式中,例如,对于输入参数的值的相同组合执行多个蚀刻实验,并且将所得到的反射光谱测量结果一起平均(可能在丢弃异常值、噪声光谱等之后)。)这组基准然后用于调整和优化模型如下:在操作635和636中,通过运行EP模型以产生蚀刻轮廓,并且然后(例如,通过使用RCWA)将计算蚀刻轮廓转换为如上所述的光谱反射,从而生成成组的计算反射光谱,其对应于来自操作630的测得的光谱,并且因此针对输入参数的值的每个组合生成。在这一点上,存在从独立输入参数的所选择的每组值产生的对应的实验反射光谱和计算反射光谱,并且适合于比较。在操作640中进行比较,其中对于输入参数的所有不同的成组的值,计算指示(关联于、量化等)实验反射光谱和计算反射光谱之间的差的误差尺度。
类似于上面参照图3所描述的内容,该成组的计算反射光谱(根据其计算误差尺度)对应于如在操作610中指定的成组的事先选择的模型参数。优化过程的目标是确定这些模型参数的更有效的选择。因此,在操作650中,确定当前指定的模型参数是否使得在操作640中计算的误差尺度被局部最小化(就模型参数的空间而言),并且如果不是,则成组的模型参数的一个或多个值在操作660中进行修改,然后被用于生成新的成组的反射光谱(重复操作635和636,如图5的流程图中示意性地指示的),然后,在重复的操作640中计算新的误差尺度。该程序再进行到操作650,其中对于通过该误差尺度所评估的所有的成组的输入参数,确定模型参数的该新的组合是否表示局部最小值。如果是,则优化过程结束,如图所示。如果不是,则在操作660中再次修改模型参数,并且重复该循环。
如果期望(以前述方式)优化EPM以用于不同持续时间的蚀刻工艺或者优化EPM以计算在蚀刻工艺的整个过程中的时间序列下的反射光谱,则考虑在蚀刻工艺的过程中根据光学测量可以精确地确定用于优化EPM的实验反射光谱的程度。相关的问题是在蚀刻工艺的过程中可以执行这些测量的速率。
一般而言,光谱反射的测量可以原位或非原位进行。由于(在蚀刻室外部)使用外部专用计量工具,因此非原位测量通常更精确,但是这种测量需要从蚀刻室中移除晶片,并且因此停止蚀刻工艺以便利用工具。由于相对于连续持续时间的蚀刻工艺,停止和重新开始蚀刻工艺将导致各种***误差,所以针对不同蚀刻时间的序列的非原位积累反射光谱通常涉及蚀刻不同晶片的序列,每个晶片用于不同的期望的持续时间,然后单独从每个晶片测量反射。另一方面,原位光谱反射测量可连续地(或基本上连续地、或至少相当迅速地)进行,而不中断正在进行的蚀刻工艺,因此单个晶片可用于产生对应于蚀刻时间序列的反射光谱(其还消除(或至少减小)晶片到晶片(wafer-to-wafer)的变化的可能性,该变化被解释为表示反射光谱的蚀刻时间依赖性)。然而,除了晶片到晶片的变化,由于各种原因,相比于使用专用外部测量工具时,原位光谱反射测量倾向于较不精确。
然而,这里公开的是(至少在一定程度上)获得非原位和原位光谱反射测量两者的优点的方式,而没有它们各自的缺点。具体地,策略是使用实验反射光谱来优化EPM,该EPM是从在正在进行的蚀刻工艺期间(在优化EPM所期望的蚀刻时间序列下)进行的快速原位光谱反射(光学)测量中产生的,所述快速原位光谱反射(光学)测量使用利用专用计量工具进行的非原位测量校准。
这可以如下进行。一个或多个晶片被蚀刻持续包含期望的蚀刻时间序列的持续时间,并且在整个正在进行的蚀刻工艺中,光谱反射光学测量原位进行。测量速率可以非常快,例如频率为1Hz、2Hz、5Hz、10Hz、15Hz、20Hz、50Hz或甚至100Hz。在一些实施方式中,在蚀刻时间序列的至少一部分中的连续蚀刻时间下进行的光学测量被隔开0.01-1秒(即,具有100Hz至1Hz的频率),或被隔开0.05-0.5秒(即,具有20Hz至2Hz的频率)。单独地,一组晶片被蚀刻不同的指定的蚀刻持续时间,并且在每个蚀刻工艺结束之后,晶片从处理室(晶片在该处理室内被蚀刻)移除,反射光谱使用专用的外部测量工具进行非原位光学测量。然后通过将不同蚀刻时间的原位测量与相应持续时间的非原位测量进行比较并相应地调整原位反射光谱强度来校准所述不同蚀刻时间的原位测量。然后,在参照图2所描述的EPM优化中,可以使用这些从用非原位光学测量校准的原位光学测量产生的反射光谱。
还可以相对于经降维的子空间(RDS)执行优化过程(类似相对于蚀刻轮廓空间所进行的,但是在这种情况下,是相对于维度降低的光谱空间所进行的),其涉及使用RDS计算在优化中最小化(通常局部地,或近似地这样)的误差尺度。构造RDS的一种方式是通过PCA,由此,代替在如上所述的蚀刻轮廓坐标空间中进行PCA,PCA可以在光谱反射的全部空间上进行。在这样做时,可以实现光谱空间的维度的显著降低,而不显著地损害数值优化中的统计误差。这里,PCA可以识别重要的规范光谱形状,并且其也(如上所述)提供了关于应该包括多少形状以获得一定程度的期望统计准确度的估计。以这种方式,如当在蚀刻轮廓坐标空间中进行时,在数值优化过程中拟合所需的数据点的数量可以显著减少,并且数值优化的收敛更快地实现。
类似地,并且类似于蚀刻轮廓坐标空间中的优化的情况,还应注意,存在用于实施RDS的使用的不同的可行策略,例如在图5中呈现的优化程序,无论RDS是经由PCA,还是经由PLS(如下所述)构建的,还是以其他方式构建的。因此,例如,在图5的操作640中计算误差尺度的方式的背景中,采用维度降低过程的一种方式是将计算光谱反射和对应的实验光谱反射单独地投射到RDS上,然后计算投射到子空间上的反射光谱之间的差。另一种方式是获得计算反射光谱和对应的实验反射光谱之间的差,然后将所述差投射到表示实验反射光谱和计算反射光谱之间的可能的差的经降维的子空间上;接着将总误差尺度视为(反射光谱的)差-子空间中的这些向量的组合长度。
构造RDS的另一种方式是简单地选择特定的成组的光谱波长并且将这些(选择的波长)视为用于RDS的基本的成组的光谱波长,而不是执行PCA。这样做,将两个反射光谱投射到RDS上并计算它们(在RDS中)的差相当于计算在这些特定波长下的反射光谱的强度差,并且例如对差求和,这将使误差尺度成为与均方根(RMS)误差成比例的数(相对于这些波长)。将其一般化,误差尺度可以作为与在特定选择的波长下的在对应的实验反射光谱和计算反射光谱之间的差的幅值单调相关的量的加权和被给出。
此外,如果待在优化程序中比较的实验反射光谱和计算反射光谱对应于不同蚀刻时间的序列,则定义RDS的附加标准可以是这些特定蚀刻时间的选择。因此,在这样的实施方式中,基于特定光谱波长的选择和考虑所述波长时的特定蚀刻时间的识别来确定RDS。此外,在某些这样的实施方式中,不同波长和蚀刻时间可以在误差尺度的计算中被不同地加权。因此,例如,如果在某些蚀刻时间的光谱数据比在其他蚀刻时间的光谱数据更具检验性(probative),则前者的(一些)可以被更重地加权(即,在特定蚀刻时间的特定波长的权重可以被设置为大于对应于相同波长在其他蚀刻时间的权重(的一些))。另外(或替代地),即使在相同的蚀刻时间,也可以在分析中有区别地对不同波长的反射光谱进行加权。
构建RDS的另一替代方案是执行偏最小二乘(PLS)分析。PLS分析利用了以下原理:蚀刻轮廓由于其在蚀刻工艺期间演变而导致的(反射)光谱历史预测在蚀刻工艺中和/或在蚀刻工艺结束时的蚀刻轮廓。在图6A中提供了图示,其示出了对应于在蚀刻工艺期间的4个连续时间(t0、t1、t2和tEP('EP'表示特征的最终蚀刻轮廓))的4个反射光谱,在特征被向下蚀刻时,该4个反射光谱与特征(在图中右侧示出)相关。从图中可以看出,随着特征的轮廓在蚀刻过程中改变,反射光谱改变,因此可以通过PLS分析产生统计模型,该PLS分析使在蚀刻工艺结束时特征蚀刻轮廓的几何坐标与在该蚀刻工艺中较早的特定时间的特定波长的各种反射值相关联。PLS分析可以识别哪些光谱波长以及在蚀刻工艺中较早的什么时间最能预测最终蚀刻轮廓,并且模型还可以评估最终蚀刻轮廓对这些波长和/或时间的灵敏度。然后可以将在特定时间的这些光谱波长指定为RDS的基本集合,优化EPM针对其进行。此外,PLS分析在特定时间的这些指定波长的相对统计显著性的确定提供了在EPM的数值优化中更重地加权它们的基础,例如通过定义误差尺度中的统计权重进行。
换句话说,对蚀刻工艺中较早的几何蚀刻轮廓坐标与反射光谱的关系的PLS分析可以用于识别在蚀刻工艺的过程中的敏感光谱区域,从中可以构造有效的RDS,并且可以在误差尺度的计算中使用在识别的先前蚀刻处理时间给予这些识别的波长的相对统计权重,可以就其执行EPM参数优化。注意,使用这种用于EPM优化的RDS将可能是有效的,因为其针对光谱空间的统计上显著的区域(其作为蚀刻时间的函数)。
如果从蚀刻工艺数据(针对不同蚀刻时间的成组的反射光谱和对应的蚀刻轮廓坐标)构造,则前述PLS分析和所得到的PLS模型(其提供用于差异化地加权特定光谱波长、蚀刻时间等的策略)将是统计上较稳健的,所述蚀刻工艺数据在经受一系列的蚀刻工艺条件(其可大致对应于将(使用RDS)优化的EPM的模型参数所处的一系列的工艺条件)的许多不同晶片上收集。图6B示意性地示出了3-D数据块的形式的在许多晶片上收集的成组的反射光谱数据,其中数据块的3个索引对应于晶片数(i)、光谱波长(j)和蚀刻处理时间(k)。如图所示,该3-D数据块可以“展开”为大小为K乘J的2-D“X”数据块,其中K是时间点的数量,J是波长的数量。(级联数据向量的步长是波长数目J。)这些是进入PLS分析的自变量。如图所示,用于PLS分析的因变量在2-D“Y”数据块中,其包含如图所示的用于数目为l的晶片中的每一个的最终的N个几何蚀刻轮廓坐标。根据这个过完整的训练数据集,PLS分析建立回归模型,以预测最终蚀刻轮廓坐标对在蚀刻工艺期间的中间时间的反射光谱数据的依赖性。
注意,尽管可以通过在一系列不同晶片上执行蚀刻工艺(和测量反射)来通过实验测量这种蚀刻轮廓和光谱反射数据(以用作PLS模型的训练组),但是这种实验可能是昂贵的和耗时的。然而,如果已经具有足够精度的EPM,例如通过上述过程优化的EPM,则更高效的过程可以是使用所述EPM生成蚀刻数据组并使用它们来构建/训练PLS模型。原则上,也可以使用实验蚀刻轮廓和实验光谱反射数据和计算机生成的蚀刻轮廓和计算机生成的光谱反射率数据的组合。
在任何情况下,使用计算机生成的反射光谱来构建PLS模型建议了迭代过程,由此使用(可能)未优化的EPM来为PLS分析生成反射光谱的训练组,然后可以将所得到的PLS模型用于识别用于返回到初始EPM并优化它的RDS(具有统计权重)。新的优化的EPM然后可以进而被用于生成新的成组的蚀刻数据以构造新的(和更好的)PLS模型,其识别用于进一步优化EPM的新的RDS,等等。该过程可以以这种方式(在EPM优化和PLS优化之间来回)持续一些预定数量的迭代,或者直到在随后的迭代中不再发现PLS和/或EP模型的显著改进。变化开始于通过上述任何优化技术(例如,不涉及PLS过程)优化的EPM并且从这里进行。另一个变化是使用一些实验测得的蚀刻工艺数据组来构造独立于EPM的初始PLS模型,然后继续识别用于优化初始EPM的RDS。鉴于前述讨论,这些一般主题的其他变化及其组合对于本领域技术人员将是显而易见的。
该前述迭代方法在图7中示意性地示出。如图7所示,生成优化的PLS模型的程序801从接收初始的成组的反射光谱和对应的成组的蚀刻轮廓的操作810开始,初始的成组的反射光谱和对应的成组的蚀刻轮廓这两者对应于一系列蚀刻处理持续时间。蚀刻时间序列可以表示在蚀刻工艺的过程中的不同时间,或者蚀刻时间序列可以表示不同的总蚀刻持续时间的蚀刻工艺(换句话说,被执行以完成的蚀刻工艺,除了在不同的衬底上在不同的总蚀刻时间)。在任何情况下,该反射光谱的初始训练组(对应于蚀刻时间序列)可以已经通过实验测量,利用未优化的EPM生成,或者使用通过诸如上述过程之类的另一过程(例如,不涉及PLS的过程)优化的EPM生成。在接收到该训练组之后,在操作820中执行PLS分析以生成初始PLS模型。PLS模型将(在操作810中接收的)蚀刻轮廓的坐标与(也在操作810中接收的)反射光谱相关联。在特定实施方式中,PLS分析产生回归模型,其表示在蚀刻工艺的较晚蚀刻时间或甚至在蚀刻过程结束时的蚀刻轮廓坐标对在蚀刻工艺中的较早的特定时间的反射光谱的某些波长的依赖性,如上所描述的,以及该依赖性的统计敏感性。
该初始PLS模型对于某些用途可能是足够精确的,并且如果在操作830中确定这是情况,则优化程序结束。然而,如果在操作830中PLS模型被认为不足够精确,则程序801继续到操作840,其中当前PLS模型(如在操作820中构造的)用于确定(统计上显著的)经降维的子空间(RDS)以及用于定义有效误差尺度(如上所述)的统计权重。然后在操作850中使用新的统计加权光谱误差尺度,以根据(例如)相对于图5所描述的EPM优化过程优化EPM模型。这样的统计加权误差尺度可以用于(在例如图5的优化中)用作(在全光谱空间的)光谱子空间中的在EPM计算的反射光谱和对应的测得的反射光谱之间的差的有效量度),该差通过PLS程序被认为具有统计显著性。
该EPM优化过程可以使用与在操作820中使用的光谱数据相同的光谱数据,或者它可以使用不同的光谱数据(但是,再次地,其利用在操作840中定义的新的光谱误差尺度来优化)。在任何情况下,一旦EPM被优化(在操作850),其就可以用于生成新的(也许非常广泛的)成组的计算反射光谱。这通过在操作860中产生成组的计算蚀刻轮廓并且然后在操作865中使用这些计算蚀刻轮廓以产生成组的计算反射光谱(例如,通过使用如上所述和图中所示的RCWA)来完成。然后可以将这些光谱作为光谱训练组馈送返回到操作820,在操作820中基于该新的(可能相当广泛的)训练组来生成新的PLS模型。在操作830中评估新的PLS模型的统计精确度;并且操作循环(840、850、860、865、820和830)可以重复地继续,直到在操作830的重复之一中,PLS模型被认为具有足够的统计精确度。
应注意,这种PLS模型对于(通过识别“良好”RDS)优化EPM模型是有用的,同时其对于蚀刻终点检测过程也是独立有用的,例如在代理人案卷号为LAMRP230的共同未决的美国专利申请(出于所有目的通过引用整体并入本文)中所描述的那些。例如,如上所述,PLS模型可以被视为关于在蚀刻工艺的过程中哪些光谱区域对于从蚀刻工艺产生的最终蚀刻轮廓较具/最具预测性的统计确定。因此,PLS模型的构造实际上是敏感性分析,其识别在蚀刻工艺的过程中可以监测哪些光谱区域以确定特征轮廓何时被充分蚀刻(即,以用于终点检测)。因此,还应注意到,通过优化的有利于那些在PLS模型中重要的光谱区域(作为蚀刻时间的函数)的统计加权而优化EPM模型除了潜在地导致更有效EPM优化外,还具有增强PLS灵敏度分析的统计精确性的益处,因为PLS模型由此由从EPM模型产生的蚀刻轮廓数据组构建,其中EPM模型的优化被统计上加权,加权有利于被PLS分析认为重要的(在蚀刻工艺中的)光谱空间的相同区域。
用于蚀刻操作的电容耦合等离子体(CCP)反应器
电容耦合等离子体(CCP)反应器在以下专利中有描述:于2009年2月9日提交的、名称为“ADJUSTABLE GAP CAPACITIVELY COUPLED RF PLASMA REACTOR INCLUDING LATERALBELLOWS AND NON-CONTACT PARTICLE SEAL,”的美国专利No.8,552,334,即美国专利申请No.12/367,754,以及2014年11月12日提交的名称为“ADJUSTMENT OF VUV EMISSION OF APLASMA VIA COLLISIONAL RESONANT ENERGY TRANSFER TO AN ENERGY ABSORBER GAS,”的美国专利申请14/539,121,其每一个通过引用整体并入本发明以用于所有目的。
例如,图8A-8C示出了可调节间隙电容耦合约束的RF等离子体反应器900的实施方式。如所描绘的,真空处理室902包括室壳体904,室壳体904围绕容纳下电极906的内部空间。在室902的上部,上电极908与下电极906竖直隔开。上电极908和下电极906(被配置用于产生等离子体)的平坦表面基本平行并与电极间的竖直方向正交。优选地,上电极908和下电极906是圆形的,并且相对于竖直轴线同轴。上电极908的下表面朝向下电极906的上表面。相对电极间隔开的表面限定其间存在的可调节间隙910。在产生等离子体期间,下电极906由RF功率源(匹配)920供给RF功率。RF功率通过RF供应导管922、RF带924和RF功率构件926被供给到下电极906。接地屏蔽件936可以围绕RF功率构件926,以供应更均匀的RF场到下电极906。如在美国专利公布No.2008/0171444(其全部内容通过引用并入本发明以用于所有目的)中描述的,晶片通过晶片端口982***并支撑在在下电极906上的间隙910中以供处理,工艺气体被供给到间隙910并由RF功率激发成等离子体状态。上电极908可被供电或接地。
在图8A-图8C中所示的实施方式中,下电极906被支撑在下电极支撑板916上。插在下电极906和下电极支撑板916之间的绝缘环914使下电极906与支撑板916绝缘。RF偏置壳体930将下电极906支撑在RF偏置壳体盆932上。盆932穿过在室壁板918中的开口通过RF偏置壳体930的臂934连接到导管支撑板938。在优选实施方式中,RF偏置壳体盆932和RF偏置壳体臂934一体地形成为一个部件,但是,臂934和盆932也可以是栓接或接合在一起的两个分离的部件。
RF偏置壳体臂934包括一个或多个中空通路以用于传递RF功率和设施,诸如气体冷却剂、液体冷却剂、RF能量、用于升降销控制的电缆、电气监测和启动从真空室902外到真空室902内在下电极906的背面上的空间的信号。RF供应导管922与RF偏置壳体臂934绝缘,该RF偏置壳体臂934提供用于RF功率到RF功率源920的返回路径。设施管道940提供用于设施组件的通道。该设施组件的进一步的细节在美国专利No.5948704和美国专利公布No.2008/0171444(两者的全部内容通过引用并入本发明以用于所有目的)中描述,并且为了描述的简单这里未示出。间隙910优选地由约束环组件(未示出)包围,其中的细节可以在美国专利公布No.2007/0284045(其全部内容通过引用并入本发明以用于所有目的)中找到。
导管支撑板938被连接到致动机构942。致动机构的细节在美国专利公布No.2008/0171444(其全部内容通过引用并入本发明以用于所有目的)中有描述。致动机构942,例如伺服机械电机、步进电机或类似物,通过例如螺旋齿轮946(如滚珠丝杠)和用于转动滚珠丝杠的马达连接到竖直线性轴承944。在调整间隙910的大小的操作过程中,致动机构942沿着竖直线性轴承944行进。图8A示出了当致动机构942在线性轴承944上处于产生小的间隙910a的高的位置时的布置。图8B示出了当致动机构942处于在线性轴承944上中间的位置时的布置。如图所示,下电极906、RF偏置壳体930、导管支撑板938、RF功率源920均相对于室壳体904和上电极908向下移动,从而产生中等大小的间隙910b。
图8C示出了当驱动机构942处于在线性轴承上的低的位置时的大的间隙910c。优选地,上电极908和下电极906在间隙调整期间保持同轴并且跨越间隙的上电极和下电极的相对表面保持平行。
例如,为了保持跨越大直径衬底(例如300毫米晶片或平板显示器)的均匀蚀刻,本实施方式使得在多步骤蚀刻工艺配方期间在CCP室902中上电极908和下电极906之间的间隙910能进行调节。特别地,该实施方式涉及一种机械装置,该机械装置促进提供下电极906和上电极908之间可调的间隙所需的直线运动。
图8A示出了在导管支撑板938的近端并在室壁板918的阶梯式凸缘928的远端密封的横向偏转的波纹管950。阶梯式凸缘的内径限定室壁板918中的开口912,RF偏置壳体臂934通过开口912。横向偏转的波纹管950提供真空密封,同时允许RF偏置壳体930、导管支撑板938和致动机构942的竖直移动。RF偏置壳体930、导管支撑板938和致动机构942可以被称为悬臂组件。优选地,RF功率源920与该悬臂组件一起移动并可以连接到导管支撑板938。图8B示出了当悬臂组件在中间位置时处于中间位置的波纹管950。图8C示出了当悬臂组件处于低的位置时横向偏转的波纹管950。
迷宫式密封件948提供了波纹管950和等离子体处理室壳体904的内部之间的颗粒屏障。固定屏蔽件956在室壁板918处不可移动地连接到室壳体904的内壁内,以便提供迷宫式槽960(狭缝),其中可移动屏蔽板958竖直移动,以适应悬臂组件的竖直移动。可移动屏蔽板958的外部在下电极906的所有竖直位置保持在狭缝中。
在示出的实施方式中,迷宫式密封件948包括在限定迷宫式槽960的室壁板918的开口912的***连接到室壁板918的内表面上的固定屏蔽件956。可移动屏蔽板958连接RF偏置壳体臂934并从该RF偏置壳体臂934径向延伸,其中臂934穿过该室壁板918中的开口912。可移动屏蔽板958延伸进入迷宫式槽960,同时与固定屏蔽件956间隔开第一间隙,并与室壁板918的内表面间隔开第二间隙,从而使得悬臂组件能竖直移动。迷宫式密封件948阻止从波纹管950剥落的颗粒进入真空室内部,并阻挡来自工艺气体等离子体的自由基迁移到波纹管950,在波纹管950中自由基可以形成随后剥落的沉积物。
图8A示出了当悬臂组件处于高位置(小的间隙910a)时在RF偏置壳体臂934上方的迷宫式槽960中较高的位置处的可移动屏蔽板958。图8C示出了当悬臂组件处于低位置(大的间隙910c)时在RF偏置壳体臂934上方的迷宫式槽960中较低位置处的可移动屏蔽板958。图8B示出了当悬臂组件处于中间位置(中等的间隙910b)时在迷宫式槽960内中部或中间位置处的可移动屏蔽板958。尽管迷宫式密封件948被示出为相对于RF偏置壳体臂934是对称的,但在其他实施方式中迷宫式密封件948相对于RF偏置壳体臂934可以是不对称的。
在蚀刻操作中使用的电感耦合等离子体反应器
电感耦合等离子体(ICP)反应器在以下文献中有描述:2013年12月10日提交的、名称为“IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING,”的美国专利公布No.2014/0170853,以及2014年11月12日提交的、名称为“ADJUSTMENT OF VUV EMISSION OFA PLASMA VIA COLLISIONAL RESONANT ENERGY TRANSFER TO AN ENERGY ABSORBER GAS,”的美国专利申请No.14/539,121,其每一个通过引用整体并入本发明以用于所有目的。
例如,图9示意性地示出了适于实施本发明的某些实施方式的电感耦合等离子体蚀刻装置1000的横截面图,其示例是Kiyo TM反应器,由加利福尼亚州弗里蒙特的LamResearch Corp.生产。所述电感耦合等离子体蚀刻装置1000包括在结构上由室壁1001和窗1011限定的总处理室。室壁1001可以由不锈钢或铝制成。窗1011可以由石英或其他介电材料制成。任选的内部等离子体栅格1050将总蚀刻室分为上副室1002和下副室1003。在大多数实施方式中,等离子体栅格1050可以被移除,从而利用由副室1002和1003制成的室空间。卡盘1017定位在下副室1003中在底部内表面附近。卡盘1017被配置成接收和保持在其上执行蚀刻工艺的半导体晶片1019。卡盘1017可以是当晶片1019存在时用于支撑晶片1019的静电卡盘。在一些实施方式中,边缘环(未示出)围绕卡盘1017,并具有大致与晶片1019(当晶片存在于卡盘1017上方时)的顶表面在同一平面的上表面。卡盘1017还包括用于夹紧和放松晶片的静电电极。可设置过滤器和DC钳位功率源(未示出)用于此目的。也可以提供其他的控制***以用于提升晶片1019使其离开卡盘1017。卡盘1017可以用RF功率源1023充电。RF功率源1023通过连接件1027被连接到匹配电路1021。匹配电路1021通过连接件1025连接到卡盘1017。以这种方式,RF功率源1023被连接到卡盘1017上。
用于等离子体产生的元件包括位于窗1011上方的线圈1033。线圈1033由导电材料制成,并包括至少一整匝。在图9中所示的线圈1033的示例包括三匝。线圈1033的横截面用符号示出,并且具有“X”的线圈1033旋转地延伸到页面内,而具有“●”的线圈1033从页面旋转地延伸出来。用于等离子体产生的元件还包括被配置为提供RF功率至线圈1033的RF功率源1041。一般地,RF功率源1041通过连接件1045被连接到匹配电路1039。匹配电路1039通过连接件1043连接到线圈1033。以这种方式,RF功率源1041被连接到线圈1033。可选的法拉第屏蔽件1049被定位在线圈1033和窗1011之间。法拉第屏蔽件1049以相对于线圈1033隔开的关系被保持。法拉第屏蔽件1049被设置在窗1011的正上方。线圈1033、法拉第屏蔽件1049和窗1011各自被配置为基本上彼此平行。法拉第屏蔽件可以防止金属或其他物质沉积在等离子体室的介电窗上。
工艺气体(例如氦气、氖气、蚀刻剂等)可以通过位于上室的一个或更多个主气流入口1060和/或通过一个或更多个侧气流入口1070流入处理室。同样,虽然未明确示出,但是类似的气流入口可用于向如图8A-8C所示的电容耦合等离子体处理室供应工艺气体。真空泵,例如,一级或两级干式机械泵和/或涡轮分子泵1040,可用于将工艺气体从处理室抽出并维持处理室内的压力。阀控制的导管可用于使真空泵流体连接在处理室上,以便选择性地控制由真空泵提供的真空环境的应用。在操作的等离子体处理过程中,这可以使用封闭环控制的流量限制装置例如节流阀(未示出)或钟摆阀(未示出)进行。同样,也可以使用图8A-8C中的受控地流体连接在电容耦合等离子体处理室上的真空泵和阀。
在装置的操作过程中,一种或多种工艺气体可通过气体流入口1060和/或1070供给。在某些实施方式中,工艺气体可以仅通过主气体流入口1060供给,或者仅通过侧气体流入口1070供给。在一些情况下,在图中所示的气体流入口可以由较复杂的气体流入口替代,例如,由一个或多个喷头替代。法拉第屏蔽件1049和/或任选的栅格1050可以包括使工艺气体能输送至室的内部通道和孔。法拉第屏蔽件1049和任选的栅格1050中的一者或两者可以作为用于输送工艺气体的喷头。
射频功率从RF功率源1041供给到线圈1033以使RF电流流过线圈1033。流过线圈1033的RF电流产生围绕线圈1033的电磁场。电磁场产生在上副室1002内的感应电流。所生成的各种离子和自由基与晶片1019的物理和化学相互作用选择性地蚀刻晶片上的特征。
如果使用等离子体栅格使得存在上副室1002和下副室1003二者,则感应电流作用于存在于上副室1002中的气体上以在上副室1002中产生电子-离子等离子体。任选的内部等离子体栅格1050限制下副室1003中的热电子的量。在一些实施方式中,设计和操作所述装置使得存在于下副室1003中的等离子体是离子-离子等离子体。
上部的电子-离子等离子体和下部的离子-离子等离子体二者可包含阳离子和阴离子,尽管离子-离子等离子体将具有更大的阴离子:阳离子的比率。挥发性的蚀刻的副产物可通过端口1022从下副室1003去除。
本发明所公开的卡盘1017可在约10℃至约250℃之间的升高的温度范围内操作。该温度将取决于蚀刻工艺操作和具体配方。在一些实施方式中,室1001还可在介于约1毫托和约95毫托之间的范围内的压强下操作。在某些实施方式中,压强可以是较高的,如上所公开的。
室1001可以在安装于干净的房间或制造设施中时耦合在设施(未示出)上。设施包括管道,管道提供处理气体、真空、温度控制和环境微粒控制。这些设施当安装在目标制造厂时耦合在室1001上。此外,室1001可耦合在传送室上,从而允许使用典型的自动化由机械手进出室1001传送半导体晶片。
图9还示出了***控制器1051。如下文进一步描述的,这样的***控制器1051可以控制蚀刻器装置的一些或所有操作,这些操作包括响应于使用如本发明所述的优化EMP生成计算蚀刻轮廓来调节蚀刻器的操作。
***控制器
***控制器可以用于控制在任何上述处理装置中的蚀刻操作(或其他处理操作),上述处理装置例如图8A-8C所示的CCP蚀刻器装置,和/或图9所示的ICP蚀刻器装置。具体地,***控制器可以实现如上所述的优化的EPM,并且响应于使用优化的EPM(如上所述)产生的计算蚀刻轮廓来调整蚀刻器装置的操作。
在图9中示意性地示出了与蚀刻器装置通信的***控制器的示例。如图9所示,***控制器1051包括一个或多个存储器设备1056、一个或多个大容量存储设备1054和一个或多个处理器1052。处理器1052可以包括一个或多个CPU、ASIC、通用计算机和/或专用计算机、一个或多个模拟和/或数字输入/输出连接件、一个或多个步进马达控制器板等。
在一些实施方式中,***控制器(图9中的1051)控制处理工具(图9中的蚀刻器装置1000)的包括其单个处理站的操作在内的操作中的一些或全部。可以提供机器可读***控制指令1058以实施/执行本发明所述的膜沉积和/或蚀刻工艺。指令可以在可耦合到***控制器和/或由***控制器读取的机器可读的非暂时性介质上提供。指令可以在处理器1052上执行,在一些实施方式中,***控制指令被从大容量存储设备1054加载到存储器设备1056中。***控制指令可以包括用于控制时序,气体和液体反应物的混合,室和/或站压力,室和/或站温度,晶片温度,目标功率电平,RF功率电平(例如,DC功率水平,RF偏置功率电平),RF暴露时间,衬底基座,卡盘和/或感受器位置,以及由处理工具执行的特定处理的其他参数。
半导体衬底处理操作可以采用各种类型的工艺,这些工艺包括但不限于与在衬底上的膜蚀刻(该蚀刻例如通过涉及表面吸附的蚀刻剂的等离子体活化的原子层蚀刻(ALE)操作进行,参见,2014年11月12日提交的名称为“ADJUSTMENT OF VUV EMISSION OF APLASMA VIA COLLISIONAL RESONANT ENERGY TRANSFER TO AN ENERGY ABSORBER GAS”的美国专利申请No.14/539,121,其通过引用整体并入本发明以用于所有目的)相关的工艺、沉积工艺(例如原子层沉积(ALD),其通过等离子体活化表面吸附膜前体进行)、以及其他类型的衬底处理操作。
因此,例如,关于用于执行基于等离子体的蚀刻工艺的衬底处理装置,由***控制器执行的机器可读指令可以包括用于从优化的EPM生成计算蚀刻轮廓,以及响应于计算蚀刻轮廓调整等离子体产生器的操作。
***控制指令1058可以以任何合适的方式进行配置。例如,各种处理工具组件子程序或控制对象可以被写入以控制执行各种处理工具的进程所需要的处理工具组件的操作。***控制指令可以以任何合适的计算机可读编程语言进行编码。在一些实施方式中,***控制指令在软件中实现,在其他实施方式中,指令可在硬件中实现,例如,作为逻辑硬编码在ASIC(专用集成电路)中,或者,在其他实施方式中,作为软件和硬件的组合实现。
在一些实施方式中,***控制软件1058可包括用于控制上述各种参数的输入/输出控制(IOC)测序指令。例如,一个或者多个沉积和/或蚀刻处理的每个阶段可以包括用于由***控制器执行的一个或多个指令。用于设置膜沉积处理阶段和/或蚀刻处理阶段的处理条件的指令例如可以包括在相应的沉积配方阶段和/或蚀刻配方阶段中。在一些实施方式中,配方阶段可按顺序设置,以便处理阶段的所有指令与该处理阶段同时执行。
在一些实施方式中,可以采用存储在与***控制器1051相关联的大容量存储设备1054和/或存储器设备1056上的其他计算机可读指令和/或程序。程序或程序段的实例包括衬底定位程序、工艺气体控制程序、压强控制程序、加热器控制程序以及等离子体控制程序。
衬底定位程序可以包括用于处理工具组件的指令,该处理工具组件用于将衬底加载到基座上并控制衬底和处理工具的其他部件之间的间隔。该定位程序可以包括用于根据需要适当地移动衬底进出反应室以在衬底上沉积和/或蚀刻膜的指令。
工艺气体控制程序可包括用于控制气体组成和流率的指令和任选地用于使气体在沉积和/或蚀刻之前流到围绕一个或多个处理站的体积中以稳定在这些体积中的压强的指令。在一些实施方式中,工艺气体控制程序可以包括用于在衬底上沉积和/或蚀刻操作期间引入某些气体到围绕在处理室中的一个或多个处理站的体积内的指令。工艺气体控制程序还可以包括以相同速率在相同的期间、或者以不同的速率和/或在不同的期间输送这些气体的指令,具体取决于将被沉积的膜的组合物和/或所涉及的蚀刻工艺的特性。工艺气体控制程序还可以包括用于在加热的喷射模块中在存在氦或一些其他的载气的情况下雾化/汽化液体反应物的指令。
压强控制程序可以包括用于通过调节例如在处理站的排放***中的节流阀、流入处理站内的气流等等来控制处理站内的压强的指令。压强控制程序可以包括用于在衬底上沉积各种类型的膜和/或蚀刻衬底期间保持相同或不同的压强的指令。
加热器控制程序可包括用于控制流向用于加热衬底的加热单元的电流的指令。可替代地或附加地,加热器控制程序可控制传热气体(如氦)朝向衬底上的传送。加热器控制程序可包括在衬底上沉积各种类型的膜和/或蚀刻衬底期间用于在反应室和/或围绕处理站的体积内保持相同或不同的温度的指令。
等离子体控制程序可包括用于根据本发明的实施方式设置一个或多个处理站内的RF功率电平、频率和暴露次数的指令。在一些实施方式中,等离子体控制程序可以包括用于在衬底上沉积膜和/或蚀刻衬底期间使用相同或不同的RF功率电平和/或频率和/或暴露次数的指令。
在一些实施方式中,可以存在与***控制器相关联的用户界面。用户界面可以包括显示屏、装置和/或工艺条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方式中,由***控制器调节的参数会涉及工艺条件。非限制性实例包括工艺气体组成和流率、温度(例如衬底保持器和喷头温度)、压强、等离子体条件(例如,RF偏置功率电平和暴露次数)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由***控制器的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具的模拟和/或数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包括质量流量控制器(MFC)、压力传感器(例如压力计)、热电偶之类的温度传感器、等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持工艺条件。
上面所描述的各种装置和方法可以与光刻图案化工具和/或工艺结合使用,例如,以用于制造或生产半导体器件、显示器、发光二极管、光伏电池板等。典型地,但不必然地,此类工具将在普通的制造设施中一起和/或同时使用,或者此类工艺将在普通的制造设施中一起和/或同时执行。
在一些实施方案中,控制器是***的一部分,该***的一部分可以是上述实施方式的一部分。这样的***可以包括半导体处理设备,半导体处理设备包括一个或多个加工工具、一个或多个室、用于处理的一个或多个平台、和/或特定的处理部件(晶片基座、气体流动***等)。这些***可与电子器件集成,以便在半导体晶片或衬底的处理之前、期间或之后控制这些***的操作。电子器件可以被称为“控制器”,其可以控制一个或多个***的各种组件或子部分。根据处理要求和/或***的类型,控制器可以被编程,以控制本发明所公开的工艺中的任何一些,包括控制处理气体的输送、温度的设置(例如,加热和/或冷却)、压力的设置、真空的设置、功率的设置、射频(RF)产生器的设置、RF匹配电路的设置、频率的设置,流率的设置、流体输送的设置、位置和操作的设置、晶片的进出工具和其他输送工具和/或连接到特定***的或与特定***接口的装载锁的传送。
从广义上讲,控制器可以被定义为接收指令、发出指令、控制操作、使能清洁操作、使能终点测量等的具有各种集成电路、逻辑、存储器、和/或软件的电子器件。该集成电路可以包括固件形式的存储程序指令的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或执行程序指令(例如,软件)的一个或多个微处理器或微控制器。程序指令可以是与各种单个的设置(或程序文件)形式的控制器通信的指令,该设置定义在半导体晶片上或用于半导体晶片或向***进行特定处理的操作参数。在一些实施方式中,所述操作参数可以是由工艺工程师定义的以完成晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯的制造过程中的一个或多个处理步骤的配方的一部分。
在一些实施方案中,控制器可以是与***集成、耦接或者说是通过网络连接***或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器可以在“云端”或者是晶片厂(fab)主机***的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对***的远程访问以监测制造操作的当前处理,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给***提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,这些输入或编程参数和/或设置然后从远程计算机传送到***。在一些实例中,控制器接收数据形式的指令,这些指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,这些参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本发明所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室内的一个或多个集成电路,它们结合以控制室内工艺。
示例的***可以包括但不限于,等离子体蚀刻室或模块(使用感应或电容耦合等离子体)、沉积室或模块、旋转冲洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理***。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
其他实施方式
尽管为了促进清楚和理解的目的,在具体实施方式的背景下,已经详细描述了前述公开的技术、操作、处理、方法、***、装置、工具、膜、化学品和组合物,但对于本领域的普通技术人员而言,显而易见的是,存在许多实施前述实施方式的落入本发明的主旨和范围内的替代方式。因此,本发明所描述的实施方式应被看作是说明本发明公开的创造性构思,而不是限制,并且不应被用作不适当地限制最终指向本发明的主题的任何权利要求的范围的不允许的基础。

Claims (10)

1.一种优化计算机模型的方法,其将半导体衬底上的特征的蚀刻轮廓与成组的独立输入参数相关联,所述方法包括:
(a)指定至少一个待优化的模型参数;
(b)为所选定的成组的独立输入参数确定多组成组的值;
(c)对于在(b)中所指定的每组成组的值,接收从使用在(b)中所指定的所述成组的值执行的实验蚀刻工艺的光学测量产生的实验反射光谱;
(d)对于在(b)中所指定的每组成组的值,由所述模型使用在(b)中所指定的所述成组的值生成计算反射光谱;以及
(e)修改在(a)中所指定的所述模型参数的值,并且用经修改的所述值重复(d),以便相对于用于在(b)中所指定的所选定的所述成组的独立输入参数的一组或者多组成组的值,减少指示在(c)中所接收的所述实验反射光谱和在(d)中生成的对应的计算反射光谱之间的差的尺度;
(f)使用所述计算机模型利用来自(e)的经修改的所述值来确定所述光刻掩模的图案;以及
(g)应用所述图案以通过将坯料暴露于所述图案来产生所述光刻掩模。
2.根据权利要求1所述的方法,其还包括通过包括以下操作的工艺产生所述计算反射光谱中的至少一些:
(i)使用所述模型产生由一系列蚀刻轮廓坐标表示的计算蚀刻轮廓;
(ii)从在(i)中产生的所述计算蚀刻轮廓,通过模拟电磁辐射从所述计算蚀刻轮廓反射来产生计算反射光谱。
3.根据权利要求1所述的方法,其中:
在(c)中产生的所述实验反射光谱包括与代表蚀刻工艺的不同持续时间的蚀刻时间序列对应的反射光谱;以及
在(d)中产生的所述计算反射光谱包括从所述模型计算得到的反射光谱,以便对应于(c)中的所述蚀刻时间序列。
4.根据权利要求3所述的方法,其中在(c)中从在所述蚀刻时间序列下在正在进行的蚀刻工艺期间进行的光学测量中产生所述实验反射光谱。
5.根据权利要求1所述的方法,其还包括重复(e)直到获得关于在(a)中所选定的所述模型参数的误差的基本上局部或全局的最小值。
6.根据权利要求1所述的方法,其中所述计算机模型计算表示与时间成函数关系的在所述半导体衬底上的所述特征的所述蚀刻轮廓的网格点处的局部蚀刻速率。
7.根据权利要求1所述的方法,其中所述至少一个模型参数包括反应速率常数、反应物和产物粘附系数、以及反应物扩散常数和/或产物扩散常数。
8.根据权利要求1所述的方法,其中,在(b)中的为所述成组的独立输入参数确定所述多组成组的值包括PCA。
9.根据权利要求1所述的方法,其中应用所述图案以产生所述光刻掩模包括将所述图案转移到抗蚀剂层。
10.根据权利要求9所述的方法,其还包括使所述抗蚀剂层显影并将所述图案转移到下面的铬层。
CN201810902809.1A 2017-08-09 2018-08-09 经反射光匹配和表面动力模型优化蚀刻轮廓的方法和装置 Pending CN109388842A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/673,321 2017-08-09
US15/673,321 US20190049937A1 (en) 2017-08-09 2017-08-09 Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization

Publications (1)

Publication Number Publication Date
CN109388842A true CN109388842A (zh) 2019-02-26

Family

ID=65274102

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810902809.1A Pending CN109388842A (zh) 2017-08-09 2018-08-09 经反射光匹配和表面动力模型优化蚀刻轮廓的方法和装置

Country Status (3)

Country Link
US (1) US20190049937A1 (zh)
KR (1) KR20190016894A (zh)
CN (1) CN109388842A (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10386828B2 (en) 2015-12-17 2019-08-20 Lam Research Corporation Methods and apparatuses for etch profile matching by surface kinetic model optimization
US9792393B2 (en) 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US10534257B2 (en) 2017-05-01 2020-01-14 Lam Research Corporation Layout pattern proximity correction through edge placement error prediction
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
KR20200130870A (ko) 2018-04-10 2020-11-20 램 리써치 코포레이션 피처들을 특징화하기 위한 머신 러닝의 광학 계측
KR20200131342A (ko) 2018-04-10 2020-11-23 램 리써치 코포레이션 레지스트 및 에칭 모델링
KR102550326B1 (ko) 2018-07-26 2023-07-04 에이에스엠엘 네델란즈 비.브이. 시뮬레이션 시스템을 위한 웨이퍼 층의 에칭 프로파일을 결정하는 방법
US10916411B2 (en) * 2018-08-13 2021-02-09 Tokyo Electron Limited Sensor-to-sensor matching methods for chamber matching
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
US11966203B2 (en) 2019-08-21 2024-04-23 Kla Corporation System and method to adjust a kinetics model of surface reactions during plasma processing
JP7469022B2 (ja) * 2019-10-29 2024-04-16 ファナック株式会社 ロボットシステム
DE102020111460A1 (de) * 2020-04-27 2021-10-28 Ald Vacuum Technologies Gmbh Additive Manufacturing System für pulverförmiges Ausgangsmaterial und Verfahren zur Herstellung eines Bauteils
EP3968353A1 (en) * 2020-09-10 2022-03-16 Impedans Ltd Apparatus for ion energy analysis of plasma processes
US20220114438A1 (en) * 2020-10-09 2022-04-14 Kla Corporation Dynamic Control Of Machine Learning Based Measurement Recipe Optimization
US11669079B2 (en) * 2021-07-12 2023-06-06 Tokyo Electron Limited Tool health monitoring and classifications with virtual metrology and incoming wafer monitoring enhancements
CN114063479B (zh) * 2021-11-12 2024-01-23 华科电子股份有限公司 应用于蚀刻机的多路输出模块的射频电源控制方法及***
WO2023166712A1 (ja) * 2022-03-04 2023-09-07 株式会社日立ハイテク プラズマ処理方法およびプラズマ処理装置
CN117476509B (zh) * 2023-12-27 2024-03-19 联合富士半导体有限公司 一种用于半导体芯片产品的激光雕刻装置及控制方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101924487B1 (ko) * 2013-12-17 2018-12-03 에이에스엠엘 네델란즈 비.브이. 수율 추산 및 제어
US9792393B2 (en) * 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10032681B2 (en) * 2016-03-02 2018-07-24 Lam Research Corporation Etch metric sensitivity for endpoint detection
US20180239851A1 (en) * 2017-02-21 2018-08-23 Asml Netherlands B.V. Apparatus and method for inferring parameters of a model of a measurement structure for a patterning process

Also Published As

Publication number Publication date
KR20190016894A (ko) 2019-02-19
US20190049937A1 (en) 2019-02-14

Similar Documents

Publication Publication Date Title
CN109388842A (zh) 经反射光匹配和表面动力模型优化蚀刻轮廓的方法和装置
CN107330132A (zh) 经反射光匹配和表面动力模型优化蚀刻轮廓的方法和装置
CN110832400B (zh) 通过边缘位置误差预测设计布局图案邻近校正
CN107526864A (zh) 经边缘放置误差预测的光致抗蚀剂设计布局图案邻近校正
CN107045560A (zh) 通过表面动力学模型优化进行蚀刻轮廓匹配的方法和装置
CN107403736B (zh) 终点检测的蚀刻计量灵敏度
US10997345B2 (en) Method of etch model calibration using optical scatterometry
US10254641B2 (en) Layout pattern proximity correction through fast edge placement error prediction
KR20200139800A (ko) Cd-sem을 사용한 프로세스 시뮬레이션 모델 캘리브레이션

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20190226

WD01 Invention patent application deemed withdrawn after publication