CN109244033A - 具有气隙结构的射频开关 - Google Patents

具有气隙结构的射频开关 Download PDF

Info

Publication number
CN109244033A
CN109244033A CN201711142300.3A CN201711142300A CN109244033A CN 109244033 A CN109244033 A CN 109244033A CN 201711142300 A CN201711142300 A CN 201711142300A CN 109244033 A CN109244033 A CN 109244033A
Authority
CN
China
Prior art keywords
gap
substrate
structure according
well region
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201711142300.3A
Other languages
English (en)
Other versions
CN109244033B (zh
Inventor
A·K·斯塔珀
S·M·尚克
J·J·埃利斯-莫纳甘
S·P·埃杜苏米利
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lattice Core Usa Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of CN109244033A publication Critical patent/CN109244033A/zh
Application granted granted Critical
Publication of CN109244033B publication Critical patent/CN109244033B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/66High-frequency adaptations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Element Separation (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

本公开涉及半导体结构,更特别地,涉及具有气隙结构的射频(RF)开关以及制造的方法。该结构包括衬底,该衬底具有在至少一个栅极结构之下的阱区中形成的至少一个气隙结构,该至少一个气隙结构延伸到由至少一个栅极结构的源极/漏极区形成的结。

Description

具有气隙结构的射频开关
技术领域
本公开涉及半导体结构,更特别地,涉及具有气隙结构的射频(RF)开关以及制造的方法。
背景技术
射频(RF)器件用于许多不同类型的通信应用。例如,RF器件可以用在具有诸如开关、MOSFET、晶体管和二极管的无线通信组件的蜂窝电话中。
随着蜂窝电话变得更加复杂和商品化,越来越需要为无线通信组件提供更高的性能和更低的价格点。例如,制造RF开关的成本的很大一部分是用于设计非常高的线性度的成本,以使得谐波失真非常低并且满足产品规格。
RF器件通常在高电阻率硅晶片或衬底上制造以实现所需的rf线性度。最先进的富陷阱绝缘体上硅(SOI)高电阻率衬底提供优异的垂直隔离和线性度,但是SOI晶片能够达到总制造成本的50%,因为它们可以是高电阻率非SOI衬底的成本的5到10倍,即,形成在SOI晶片上的rf器件可以具有1.0的总归一化制造成本,而形成在高电阻率非SOI体晶片上的类似器件可以具有0.6的总归一化制造成本。已知被构建在体Si衬底上的器件经受劣化的线性度、谐波、噪声和漏电流,其中的任何一种都会降低器件性能,由此必然使SOI晶片的成本更高。
发明内容
在本公开的一方面中,一种结构包括具有在至少一个栅极结构之下的阱区中形成的至少一个气隙结构的衬底,所述至少一个气隙结构延伸到由所述至少一个栅极结构的源极/漏极区形成的结。
在本公开的一方面中,一种结构包括:具有至少一个阱区的衬底;位于所述至少一个阱区上方的至少一个栅极结构;延伸到所述至少一个阱区中的多个沟槽;以及至少一个气隙结构,从所述多个沟槽延伸、位于所述至少一个栅极结构的源极/漏极区之下、以及位于所述至少一个阱区中。
在本公开的一方面中,一种方法包括:将多个沟槽形成到衬底的阱区中;用绝缘体材料加衬所述多个沟槽;以及通过所述多个沟槽蚀刻所述高电阻率衬底以形成从所述阱区内的所述多个沟槽延伸的至少一个气隙结构。
附图说明
通过本公开的示例性实施例的非限制性实例并参考所述多个附图,在以下详细描述中描述本公开。
图1A-1C示出根据本公开的方面的输入结构以及相应的制造工艺。
图2A-2F示出根据本公开的方面的几个不同的结构以及相应的制造工艺。
图3示出除了其他特征之外的根据本公开的方面的位于图2的气隙结构之间的栅极结构以及相应的制造工艺。
图4A示出除了其他特征之外的根据本公开的方面的阱结构中的加衬气隙结构以及相应的制造工艺。
图4B示出除了其他特征之外的根据本公开的方面的阱结构中的合并的加衬气隙结构以及相应的制造工艺。
图4C示出除了其他特征之外的根据本公开的方面的阱结构中的单个加衬气隙结构以及相应的制造工艺。
图5示出根据本公开的方面的图1-4C的结构的另一代表性的局部俯视图。
具体实施方式
本公开涉及半导体结构,更特别地,涉及具有形成在开关之下的衬底中的气隙结构的诸如FET开关的射频(RF)FET或NPN。更具体地,本公开涉及使用具有气隙结构的FET形成的射频(RF)开关,该气隙结构位于用在前端模块收发器中的FET源极/漏极之下。在实施例中,除了其他特征之外,该结构可以进一步包括局部SOI和深沟槽隔离结构。有利地,栅极结构之间的气隙结构将改善器件的rf参数,例如,线性度和***损耗。
在实施例中,气隙结构可以形成在体高电阻率硅晶片中,例如,电阻率为>>1ohm-cm或约1Kohm-cm至约10Kohm-cm或更高。在实施例中,气隙结构形成在源极/漏极区之下,该源极/漏极区延伸到在栅极结构之下的PN结的底部。在另外的实施例中,气隙结构可以用具有深沟槽隔离结构的双阱堆叠形成以避免耗尽区穿通,或者该气隙结构可以形成在不具有深沟槽隔离结构的三阱结构中。在另外的实施方式中,气隙结构可被氧化以彼此合并;或者单独的气隙可以合并成更大的气隙。接触和布线形成在气隙结构之上以提供例如FET源极/漏极电压偏置和/或rf信号路径。
本公开的结构可以使用许多不同的工具以多种方式制造。但是,一般而言,方法和工具用于形成尺寸在微米和纳米级的结构。已从集成电路(IC)技术中采用用于制造本公开的结构的方法,即,技术。例如,这些结构被构建在晶片上并且通过在晶片顶部上的光刻工艺而被图案化的材料的膜实现。特别地,结构的制造使用三个基本构建块:(i)在衬底上沉积材料薄膜,(ii)通过光刻成像在膜的顶部上施加图案化掩模,以及(iii)选择性地将膜蚀刻到掩模。
图1A示出根据本公开的方面的输入结构。特别地,作为示例性实例,结构10包括衬底12,该衬底12可以为范围大约在1Kohm-cm至10Kohm-cm内的高电阻率衬底。应该认识到,1Kohm-cm以及更大的电阻率足以显著降低衬底诱导的谐波失真和损耗。然而,还可以考虑达到20Kohm-cm的更高的电阻率。在实施例中,衬底12可以由诸如,例如,Si、SiGe、SiGeC、SiC、GaAs、InAs、InP以及其它III/V或II/VI化合物半导体的任何合适的半导体材料构成。
仍然参考图1A,可选的对准标记13被图案化并被蚀刻到衬底12中。该可选的对准标记用于对准随后的光刻层级。接下来,在衬底12上沉积一个或多个衬垫电介质膜15,例如但不限于10nm的热氧化物和100nm的CVD氮化物。
参考图1B,在衬垫电介质膜15上图案化开口或沟槽22,蚀刻电介质15,并且将沟槽22蚀刻到衬底12中。沟槽22的宽度由光刻分辨率和要被蚀刻的深度确定。在一个实施例中,沟槽是120nm宽和0.7微米深。
参考图1C,通过沉积电介质并且从底部和顶部平面特征各向异性地蚀刻间隔物电介质13而在沟槽22的侧壁上形成间隔物电介质23。各向异性蚀刻可以由本领域已知的使用基于全氟化碳化学的反应离子蚀刻(RIE)构成,其从平面表面蚀刻材料,但在侧壁上形成电介质并且在侧壁上留下电介质。这些类型的间隔物蚀刻通常用在MOSFET多晶栅极上,以允许与多晶栅极间隔开的离子注入。
在沟槽形成之后,沟槽22被用绝缘材料(也称为衬里或间隔物)23加衬。在实施例中,衬里23是使用任何已知的沉积方法沉积的诸如一种或多种氧化物或氮化物的层的任何合适的电介质材料中的一种或多种,例如,化学气相沉积(CVD)、硅衬底的热氧化或原子层沉积(ALD)。间隔物23需要牢固地(robustly)涂覆沟槽22的侧壁。为了实现这种牢固的侧壁覆盖,间隔物膜需要足够厚以在沟槽22的侧壁上留下厚膜,但不要太厚而夹断沟槽22的顶部开口,这将阻止间隔物23的形成。在实施例中,沟槽22为100nm宽,沉积40nm的氮化物,并且各向异性地蚀刻间隔物23。在另一个实施例中,沟槽22的侧壁被热氧化以形成在衬垫膜15之下延伸的SiO2层。在该热氧化之后,通过各向异性蚀刻间隔物23或者在形成间隔物23之前使用CVD/ALD型沉积沉积例如氧化物或氮化物的一个或多个膜。
在使用硅衬底的实施例中,图2A中的气隙结构24通过硅蚀刻工艺穿过沟槽22的底部而形成。在实施例中,可选的蒸气或液体HF处理、氢等离子体、退火、碱性或酸性化学清洁、或者已知的用于从硅中去除薄的或天然的电介质或者残留的间隔物蚀刻聚合物的任何工艺也可以被用来去除沟槽22的底部处的任何过量的电介质。这些柱状间隔物蚀刻清洁应该在沟槽22的顶部和侧壁上留下牢固的电介质衬里,以防止随后的硅蚀刻蚀刻沟槽22的侧壁或硅晶片12的顶部上的硅。在间隔物形成和可选的清洁之后,可以去除在沟槽22的底部处的衬底12中的暴露的衬底材料以形成气隙结构24。在实施例中,衬底12中的暴露的衬底材料可以通过湿蚀刻工艺或干蚀刻工艺被去除。例如,干蚀刻剂可以包括基于等离子体的CF4、基于等离子体的SF6或气体XeF4硅蚀刻等,湿蚀刻工艺可以包括KOH和NH4OH。在实施例中,气隙结构24在将要成为FET源极/漏极区的部分之下形成,延伸到下方的PN结的底部并位于栅极结构之间。例如,气隙结构24的上表面的深度可以是约300nm;但是本文也考虑了其他尺寸。
为了避免不在沟槽22的底部蚀刻硅衬底,重要的是电介质层15和23完全覆盖硅以防止非故意的硅蚀刻。图2A和2B示出气隙24的形成,使得气隙在沟槽22的底部上方延伸并且间隔物膜23被暴露。图2A和2B示出了暴露的间隔物膜23,它们被暴露时不改变它们的形状。暴露的间隔物膜23由于其残余应力而可能卷曲。
图2B示出其中气隙24形成在沟槽22之下并且不在沟槽22的底部上方延伸的替代实施例。在这种情况下,间隔物膜23不被底切。
图2B’示出其中通过使用例如基于SF6的蚀刻的各向异性蚀刻形成气隙24的替代实施例,该基于SF6的蚀刻使用穿过沟槽22的垂直方向离子轰击。这种将要成为气隙的底部的部分的离子轰击允许气隙24的顶部为不是太圆,这可以改善其随后穿过FET源极/漏极结的延伸。间隔物可以在气隙24顶部停止,如图2B所示,或者延伸到气隙中,如图2A所示。
图2C示出除了其他特征之外的填充有诸如氧化物的电介质或电介质衬里和多晶硅的深沟槽结构20,以及与沟槽22对准的气隙结构24。这些沟槽被足够深地蚀刻到高电阻率衬底中以延伸超过pn结的最大耗尽深度。因为深沟槽结构20延伸超过最大结耗尽深度,所以它们防止硅中邻近的掺杂阱相互短路。具体地,如图2C所示,深沟槽隔离结构20形成在衬底12中。这些深沟槽隔离结构20被蚀刻到衬底中几十微米并用诸如氧化物的电介质或电介质衬里和多晶硅填充,并且使用化学机械抛光或者本领域中已知的其它方法从表面去除多余的材料。在实施例中,(硅晶片)衬底12具有3000ohm-cm的电阻率,并且沟槽隔离20被蚀刻为70微米深,以避免耗尽穿通。
在实施例中,例如,深沟槽隔离结构20将围绕晶体管18(例如,参见图5所示的俯视图)。深沟槽隔离结构20将注入的阱区(未示出)与邻近的阱区隔离,例如,n阱和p阱区中的FET源极/漏极结;或三阱结区,并且还将防止阱到衬底耗尽区的合并,从而减少谐波。因此,通过提供深沟槽隔离结构20,可以减少谐波、改善泄漏电流并降低高电阻率体衬底上的噪声。
图2D示出这样的情况,深沟槽隔离结构20围绕FET,并具有接触深沟槽20的在FET(例如,参见图3)之下合并的气隙24。在该实施例中,深沟槽20为气隙24提供机械支撑,并且在气隙完全延伸到隔离结构20的边缘的情况下,气隙上方的晶体管与衬底12完全隔离。
在图2E中,浅沟槽隔离(STI)结构16形成在衬底12中。在实施例中,作为示例,STI结构16可以由氧化物材料组成,并且可以使用常规光刻、蚀刻和沉积步骤以及随后的化学机械抛光(CMP)步骤形成STI结构16。在实施例中,STI结构16可以在晶体管的形成之前形成,并且可以具有约0.3μm的深度;但是本文也考虑了其他深度。在另外的实施例中,深沟槽隔离结构20可以在STI结构20之前或之后、在FET之前或之后形成,并且优选地超过p阱区14的深度。在实施例中,STI结构16可以延伸或不延伸到深沟槽隔离结构20的两侧、一侧。
在用于STI结构16或深沟槽隔离结构20的氧化物或其它绝缘体材料的沉积期间,氧化物材料(或其它绝缘体材料)16a将覆盖或塞填沟槽22的顶部,例如,封闭气隙结构24,以防止在后续工艺中水分进入气隙结构24。
图2E中的气隙24被示出为其顶部区域22被填充以及气隙24的侧壁在其表面上部分地涂覆有电介质45。该电介质涂层45可由与用于填充浅沟槽隔离沟槽16的那些分离或相同的热氧化或CVD氧化物沉积中的一种或多种构成;或者可以与浅沟槽隔离16氧化物填充分离地形成。
如图2F进一步所示,深沟槽20的深度在p阱区14的下方,更优选地,可以为30μm以上,例如,约20μm至约100μm,从而使来自衬底中的阱的耗尽区保持在被深沟槽隔离围绕的区域内。在另外的实施例中,深沟槽隔离结构20可以形成到衬底12的背侧研磨(backsidegrind)界面,以将邻近的阱区和RF器件与DC衬底电流完全隔离。深沟槽的直径或宽度可以为约1μm;但是除了其他的因素之外,依赖于技术节点,本文也考虑了其他尺寸。
图2F示出了多指NFET开关。如果示出PFET开关,然后如本领域已知的,阱和源极/漏极掺杂极性将被交换。示出了NFET开关阱14和源极/漏极区19、NFET栅极导体21、可选的多晶栅极间隔物43、以及硅化物25。如本领域已知的,源极/漏极区19可以包含晶体管p型晕圈、n型延伸注入、以及n型源极/漏极注入。n型源极/漏极区21拦截气隙24,使得位于晶体管的n型源极/漏极与p型p阱之间的pn结面积减小。这种源极/漏极结面积的减小将减小结电容。由于结电容与电压为非线性的,因此结电容的减小将提高晶体管线性度。
在实施例中,晶体管18可以是被提供有不同于衬底偏置的偏置的有源RF器件,例如,RF开关或其它有源或无源器件。晶体管18可以形成在衬底的顶部上,例如,衬底材料(Si)保留在晶体管18(例如,FET栅极)之下的。如本领域已知的那样,晶体管18可以使用交替的源极/漏极/源极/漏极/等配置的阵列中的多个栅极形成。在实施例中,多指(例如,平行布线的晶体管栅极18)之间的间隔可以为约600nm;但是依赖于技术节点也考虑了其他尺寸。此外,如本领域已知的,可以放置多指晶体管的多个堆叠。晶体管可以具有形成在图5所示的深沟槽隔离20的环内的体接触,该深沟槽隔离20可使用本领域已知的任何标准器件布局形成。
如本领域普通技术人员还应理解的,晶体管18可以通过常规CMOS工艺形成,包括沉积栅极电介质(例如,诸如氧化铪等的高k电介质)、随后沉积栅极金属(例如,不同的功函数金属)、使用光刻和蚀刻(例如,反应离子蚀刻(RIE))图案化材料以形成栅极堆叠、随后形成侧壁(例如,沉积在栅极堆叠上的氧化物或氮化物材料)。源极区18a和漏极区18b通过使用常规的掺杂剂或离子注入工艺在衬底12(阱区14)之内或在阱区14之上的衬底12上形成(例如,用于升高的源极和漏极区),从而不需要进一步的说明。在实施例中,可以使用外延生长工艺来形成升高的源极和漏极区。如所示出的,气隙结构24形成在源极/漏极区中,延伸到晶体管18之下的PN结的底部,例如,接触源极/漏极区18a、18b。
如图2F所示,硅化物25形成在源极区18a和漏极区18b上并在气隙结构24之上。在实施例中,硅化物工艺开始于在被完全形成和图案化的半导体器件(例如,掺杂或离子注入的源极和漏极区18a、18b以及相应的器件18)之上沉积薄的过渡金属层,例如,镍、钴或钛。在沉积材料之后,对该结构进行加热,从而允许过渡金属与半导体器件的有源区(例如,源极、漏极、栅极接触区)中暴露的硅(或如本文所述的其它半导体材料)反应,形成低电阻过渡金属硅化物。在反应之后,通过化学蚀刻去除任何剩余的过渡金属,使硅化物接触26留在器件的有源区中,例如,图3所示的晶体管18。硅化物25被示出桥跨氧化物密封的气隙沟槽16a,但也可以不桥跨该氧化物填充的间隙(未示出)。在任一情况下,随后形成的接触32将接触该硅化区域25以与FET的源极/漏极接触。
在图3中,阻挡电介质层31可以在例如晶体管18的器件的有源区中的硅化物26之上形成。阻挡层28可以是使用常规沉积工艺(例如,CVD工艺)沉积的阻挡氮化物膜。可选的阻挡电介质31和层间电介质材料30形成在结构的暴露表面之上,例如,在晶体管18和阻挡层28之上。如本领域已知的,可选的阻挡电介质层可以是SiN、SiCN等。层间电介质材料30可以是使用任何常规沉积工艺(例如,CVD)沉积的氧化物材料,诸如SiO2、磷硅玻璃(PSG)、硼磷硅玻璃(BPSG)、SiCOH等。使用常规金属或金属合金工艺的光刻、蚀刻和沉积在层间电介质材料30内形成接触32。如果硅化物25不桥跨氧化物16a,则接触32具有大于沟槽22的宽度并且将与硅化物26直接电接触。再次使用常规CMOS沉积和图案化工艺使线结构34的布线层和其它后端形成为与接触32接触。
图4A、4B和4C示出了根据本公开的附加方面的附加结构和相应的制造工艺。更具体地,除了具有绝缘的(例如,氧化的)侧壁24b的气隙结构24之外,图4A所示的结构10’包括图1中描述的结构和材料。如图4B所示,在结构10”中,氧化的侧壁24b与邻近的气隙结构24合并在一起,如附图标记24c所示,包括与深沟槽氧化物隔离20的接触或合并。气隙24和氧化区24c的组合为FET提供与衬底的隔离。有效地,隔离的FET与形成在SOI衬底上的FET类似,其也与衬底隔离。
如图4C所示,在结构10”’中,示出了在p阱16中的晶体管18之下形成的具有绝缘的(例如,氧化的)侧壁24b的单个气隙结构24a。可以使用任何常规热氧化工艺来氧化侧壁。并且,如本领域技术人员应理解的,所有这些结构都可以是三阱堆叠的代表,这消除了对深沟槽隔离结构的需要。
应当认识到,图1A-4C的结构可以是包含形成平行排列的本领域已知的多指FET(例如,RF开关或其他FET)的多个栅极结构(晶体管)18的多堆叠FET(a multiple stackFET)。源极和漏极区18a、18b设置在多个晶体管18之间。应进一步理解的是,可以提供图1A-4C所示的多个布局,其中在隔开晶体管堆叠的多个晶体管18的上部和下部当中共享深沟槽隔离结构28。
图5示出了根据本公开的方面的图4A和类似图的结构的另一代表性局部俯视图。如图5所示,气隙结构24可以沿结构的Y方向合并在一起。特别地,图5示出了与FET栅极平行的垂直合并的气隙。通过与FET栅极平行地垂直合并气隙,源极/漏极结面积显着减小。因此,沿纵向鳍方向(即,平行于FET栅极)的连续气隙结构可以通过本文描述的方法形成。如在该局部视图中进一步示出的,绝缘体材料16a将塞填沟槽或气隙过孔22。接触32、34也延伸到衬底12以使所示衬底偏置,在这种情况下,不与气隙沟槽16a相交。对于图2D所示的合并的气隙,气隙将在图5中在x和y方向上延伸,在两种情况下都延伸到深沟槽隔离20。在这种情况下,深沟槽隔离20将提供机械支撑以保持释放的硅和FET。
在该实施例中,接触32、34交错以不与气隙过孔22重合。或者,如果接触具有比气隙过孔22大的宽度或直径以允许电流流入FET源极/漏极,则接触32、34可以部分或全部与气隙过孔22重合。在实施例(未示出)中,接触32、34可以被设计为条而不是孔;以及气隙过孔22可以由条而不是孔形成。
如上所述的方法用在集成电路芯片的制造中。所得到的集成电路芯片可以由制造商以作为裸芯片的原始晶片形式(即,作为具有多个未封装芯片的单个晶片)或者以封装形式分发。在后一种情况下,芯片被安装在单芯片封装(诸如塑料载体中,其引线固定到母板或其他更高级别的载体)或多芯片封装(诸如陶瓷载体中,其具有表面互连和/或掩埋互连中的一者或两者)中。在任何情况下,芯片然后与其他芯片、分立电路元件和/或其他信号处理设备集成,作为(a)中间产品(诸如母板)或者(b)最终产品的一部分。最终产品可以是包括集成电路芯片的任何产品,从玩具和其他低端应用,到具有显示器、键盘或其他输入设备以及中央处理器的高级计算机产品。
本公开的各种实施例的描述已为了示例的目的而给出,但并非旨在是穷举性的或限于所公开的实施例。在不脱离所描述的实施例的范围和精神的情况下,许多修改和变化对于本领域普通技术人员将是显而易见的。本文中所用术语的被选择以旨在最好地解释实施例的原理、实际应用或对市场中发现的技术的技术改进,或者使本技术领域的其他普通技术人员能理解本文公开的实施例。

Claims (20)

1.一种结构,其包括衬底,所述衬底具有形成在至少一个栅极结构之下的阱区中的至少一个气隙结构,所述至少一个气隙结构延伸到由所述至少一个栅极结构的源极/漏极区形成的结。
2.根据权利要求1所述的结构,其中所述衬底是具有大约大于1Kohm-cm的电阻率的体衬底。
3.根据权利要求1所述的结构,其中所述至少一个气隙结构设置在邻近的栅极结构之间。
4.根据权利要求3所述的结构,其中所述至少一个气隙结构被绝缘体材料加衬和塞填。
5.根据权利要求4所述的结构,其中所述绝缘体材料是合并所述至少一个气隙结构中的多个气隙结构的氧化材料。
6.根据权利要求1所述的结构,其中所述至少一个气隙结构是具有氧化侧壁的单个气隙并且从所述阱区中的多个沟槽延伸。
7.根据权利要求1所述的结构,其中所述高电阻率衬底包括具有与所述栅极结构邻近的深沟槽隔离结构的双阱堆叠,所述深沟槽隔离结构延伸到底部背侧研磨界面。
8.根据权利要求1所述的结构,其中所述高电阻率衬底包括三阱堆叠。
9.一种结构,包括:
具有至少一个阱区的衬底;
位于所述至少一个阱区上方的至少一个栅极结构;
延伸到所述至少一个阱区中的多个沟槽;以及
至少一个气隙结构,从所述多个沟槽延伸、位于所述至少一个栅极结构的源极/漏极区之下以及位于所述至少一个阱区中。
10.根据权利要求9所述的结构,其中所述衬底是具有大约>1Kohm-cm的电阻率的体衬底。
11.根据权利要求9所述的结构,其中所述至少一个气隙结构设置在邻近的栅极结构之间。
12.根据权利要求9所述的结构,其中所述多个沟槽和所述至少一个气隙结构被绝缘体材料加衬。
13.根据权利要求12所述的结构,其中加衬所述至少一个气隙的所述绝缘体材料是氧化材料。
14.根据权利要求13所述的结构,其中所述氧化材料合并所述至少一个气隙结构中的多个气隙结构。
15.根据权利要求13所述的结构,其中所述至少一个气隙结构是从多个沟槽延伸的在多个栅极结构之下的单个气隙。
16.根据权利要求9所述的结构,进一步包括深沟槽隔离结构,所述深沟槽隔离结构延伸到底部背侧研磨界面并围绕所述至少一个阱区。
17.根据权利要求9所述的结构,其中所述高电阻率衬底包括具有围绕所述至少一个栅极结构的深沟槽隔离结构的双阱堆叠。
18.根据权利要求9所述的结构,其中所述高电阻率衬底包括三阱堆叠。
19.一种方法,包括:
将多个沟槽形成到衬底的阱区中;
用绝缘体材料加衬所述多个沟槽;以及
通过所述多个沟槽蚀刻所述高电阻率衬底来形成从所述阱区内的所述多个沟槽延伸的至少一个气隙结构。
20.根据权利要求19所述的方法,其中所述至少一个气隙被氧化物材料加衬。
CN201711142300.3A 2017-07-10 2017-11-17 具有气隙结构的射频开关 Active CN109244033B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/645655 2017-07-10
US15/645,655 US10461152B2 (en) 2017-07-10 2017-07-10 Radio frequency switches with air gap structures

Publications (2)

Publication Number Publication Date
CN109244033A true CN109244033A (zh) 2019-01-18
CN109244033B CN109244033B (zh) 2024-03-19

Family

ID=64903457

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711142300.3A Active CN109244033B (zh) 2017-07-10 2017-11-17 具有气隙结构的射频开关

Country Status (3)

Country Link
US (2) US10461152B2 (zh)
CN (1) CN109244033B (zh)
TW (1) TWI707475B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112582462A (zh) * 2019-09-27 2021-03-30 格芯美国公司 空气间隔物结构
CN114428377A (zh) * 2020-10-29 2022-05-03 格芯(美国)集成电路科技有限公司 与一个或多个气隙集成的光栅耦合器
CN114578477A (zh) * 2020-12-01 2022-06-03 格芯(美国)集成电路科技有限公司 具有衰减器的波导
WO2023040424A1 (en) * 2021-09-20 2023-03-23 International Business Machines Corporation Semiconductor structure having bottom isolation and enhanced carrier mobility

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10461152B2 (en) * 2017-07-10 2019-10-29 Globalfoundries Inc. Radio frequency switches with air gap structures
US10833153B2 (en) 2017-09-13 2020-11-10 Globalfoundries Inc. Switch with local silicon on insulator (SOI) and deep trench isolation
US10446643B2 (en) 2018-01-22 2019-10-15 Globalfoundries Inc. Sealed cavity structures with a planar surface
US11056382B2 (en) * 2018-03-19 2021-07-06 Globalfoundries U.S. Inc. Cavity formation within and under semiconductor devices
US11410872B2 (en) 2018-11-30 2022-08-09 Globalfoundries U.S. Inc. Oxidized cavity structures within and under semiconductor devices
US10923577B2 (en) 2019-01-07 2021-02-16 Globalfoundries U.S. Inc. Cavity structures under shallow trench isolation regions
US11916107B2 (en) * 2019-04-23 2024-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11557650B2 (en) * 2019-04-23 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11233140B2 (en) 2019-04-23 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
CN111834226A (zh) * 2019-04-23 2020-10-27 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US11393713B2 (en) * 2019-04-23 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method therefore
DE102020103046B4 (de) * 2019-04-23 2024-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und herstellungsverfahren dafür
US11016055B2 (en) * 2019-07-09 2021-05-25 Globalfoundries Singapore Pte. Ltd. Sensors with a front-end-of-line solution-receiving cavity
US11127816B2 (en) 2020-02-14 2021-09-21 Globalfoundries U.S. Inc. Heterojunction bipolar transistors with one or more sealed airgap
US11862693B2 (en) 2020-08-24 2024-01-02 Globalfoundries Singapore Pte. Ltd. Semiconductor devices including a drain captive structure having an air gap and methods of forming the same
US11488980B2 (en) 2020-08-26 2022-11-01 Globalfoundries U.S. Inc. Wafer with localized semiconductor on insulator regions with cavity structures
US11569170B2 (en) * 2020-10-07 2023-01-31 Globalfoundries U.S. Inc. Substrate with a buried conductor under an active region for enhanced thermal conductivity and RF shielding
US11764258B2 (en) 2020-12-01 2023-09-19 Globalfoundries U.S. Inc. Airgap isolation structures
US11545577B2 (en) 2020-12-08 2023-01-03 Globalfoundries U.S. Inc. Semiconductor structure with in-device high resistivity polycrystalline semiconductor element and method
US11605710B2 (en) 2020-12-11 2023-03-14 Globalfoundries U.S. Inc. Transistor with air gap under source/drain region in bulk semiconductor substrate
US20220238646A1 (en) * 2021-01-25 2022-07-28 Globalfoundries U.S. Inc. Airgap structures in auto-doped region under one or more transistors
US11881506B2 (en) 2021-07-27 2024-01-23 Globalfoundries U.S. Inc. Gate structures with air gap isolation features

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5972758A (en) * 1997-12-04 1999-10-26 Intel Corporation Pedestal isolated junction structure and method of manufacture
US20050176222A1 (en) * 2002-05-08 2005-08-11 Atsushi Ogura Semiconductor substrate manufacturing method and semiconductor device manufacturing method, and semiconductor substrate and semiconductor device manufactured by the methods
CN103247684A (zh) * 2012-02-13 2013-08-14 台湾积体电路制造股份有限公司 具有低衬底漏电的绝缘栅双极型晶体管结构
US20130210207A1 (en) * 2009-11-30 2013-08-15 Fujitsu Semiconductor Limited Fabrication method of semiconductor device and fabrication method of dynamic threshold transistor
US20130320459A1 (en) * 2012-06-01 2013-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Isolation Structure with Air Gaps in Deep Trenches
CN103563059A (zh) * 2011-06-28 2014-02-05 国际商业机器公司 置换金属栅极工艺流程中具有低电阻源极区和漏极区的方法和结构
US20150348825A1 (en) * 2014-05-30 2015-12-03 Magnachip Semiconductor, Ltd. Semiconductor device with voids within silicon-on-insulator (soi) structure and method of forming the semiconductor device
US9508810B1 (en) * 2015-11-16 2016-11-29 International Business Machines Corporation FET with air gap spacer for improved overlap capacitance

Family Cites Families (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61184843A (ja) 1985-02-13 1986-08-18 Toshiba Corp 複合半導体装置とその製造方法
US4888300A (en) 1985-11-07 1989-12-19 Fairchild Camera And Instrument Corporation Submerged wall isolation of silicon islands
US5427975A (en) 1993-05-10 1995-06-27 Delco Electronics Corporation Method of micromachining an integrated sensor on the surface of a silicon wafer
US5949144A (en) 1996-05-20 1999-09-07 Harris Corporation Pre-bond cavity air bridge
JPH1022462A (ja) 1996-06-28 1998-01-23 Sharp Corp 半導体装置及びその製造方法
US5844299A (en) 1997-01-31 1998-12-01 National Semiconductor Corporation Integrated inductor
US6093330A (en) 1997-06-02 2000-07-25 Cornell Research Foundation, Inc. Microfabrication process for enclosed microstructures
US6337499B1 (en) 1997-11-03 2002-01-08 Infineon Technologies Ag Semiconductor component
US5943581A (en) 1997-11-05 1999-08-24 Vanguard International Semiconductor Corporation Method of fabricating a buried reservoir capacitor structure for high-density dynamic random access memory (DRAM) circuits
KR19990055422A (ko) 1997-12-27 1999-07-15 정선종 실리콘 기판에서의 인덕터 장치 및 그 제조 방법
TW363278B (en) 1998-01-16 1999-07-01 Winbond Electronics Corp Preparation method for semiconductor to increase the inductive resonance frequency and Q value
US6492684B2 (en) 1998-01-20 2002-12-10 International Business Machines Corporation Silicon-on-insulator chip having an isolation barrier for reliability
US5869374A (en) * 1998-04-22 1999-02-09 Texas Instruments-Acer Incorporated Method to form mosfet with an inverse T-shaped air-gap gate structure
JP3762136B2 (ja) 1998-04-24 2006-04-05 株式会社東芝 半導体装置
KR100319743B1 (ko) 1998-11-24 2002-05-09 오길록 기생 캐패시턴스 및 자장의 간섭을 감소시킬 수 있는 집적소자및 그 제조 방법
EP1043769A1 (en) 1999-04-07 2000-10-11 STMicroelectronics S.r.l. Process for manufacturing a semiconductor material wafer comprising single-crystal regions separated by insulating material regions, in particular for manufacturing intergrated power devices, and wafer thus obtained
DE69930099T2 (de) 1999-04-09 2006-08-31 Stmicroelectronics S.R.L., Agrate Brianza Herstellung von vergrabenen Hohlräumen in einer einkristallinen Halbleiterscheibe und Halbleiterscheibe
EP1049157B1 (en) 1999-04-29 2007-03-14 STMicroelectronics S.r.l. Process for manufacturing buried channels and cavities in semiconductor wafers
US6307247B1 (en) 1999-07-12 2001-10-23 Robert Bruce Davies Monolithic low dielectric constant platform for passive components and method
EP1073112A1 (en) 1999-07-26 2001-01-31 STMicroelectronics S.r.l. Process for the manufacturing of a SOI wafer by oxidation of buried cavities
US6140197A (en) 1999-08-30 2000-10-31 Chartered Semiconductor Manufacturing Ltd. Method of making spiral-type RF inductors having a high quality factor (Q)
US6833079B1 (en) 2000-02-17 2004-12-21 Applied Materials Inc. Method of etching a shaped cavity
EP1130631A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. Process for forming a buried cavity in a semiconductor material wafer
US6258688B1 (en) 2000-03-15 2001-07-10 Taiwan Semiconductor Manufacturing Company Method to form a high Q inductor
US7294536B2 (en) 2000-07-25 2007-11-13 Stmicroelectronics S.R.L. Process for manufacturing an SOI wafer by annealing and oxidation of buried channels
JP4285899B2 (ja) 2000-10-10 2009-06-24 三菱電機株式会社 溝を有する半導体装置
SE519893C2 (sv) 2000-11-09 2003-04-22 Ericsson Telefon Ab L M Induktorstruktur hos integrerad krets samt icke-förstörande mätning av etsningsdjup
US6525396B2 (en) 2001-04-17 2003-02-25 Texas Instruments Incorporated Selection of materials and dimensions for a micro-electromechanical switch for use in the RF regime
US7148553B1 (en) 2001-08-01 2006-12-12 Davies Robert B Semiconductor device with inductive component and method of making
JP2003179148A (ja) 2001-10-04 2003-06-27 Denso Corp 半導体基板およびその製造方法
JP4031329B2 (ja) 2002-09-19 2008-01-09 株式会社東芝 半導体装置及びその製造方法
EP1427010B1 (en) 2002-11-29 2012-01-11 STMicroelectronics Srl Manufacturing method of a semiconductor substrate comprising at least a buried cavity
US6928879B2 (en) 2003-02-26 2005-08-16 Robert Bosch Gmbh Episeal pressure sensor and method for making an episeal pressure sensor
US6809005B2 (en) 2003-03-12 2004-10-26 Infineon Technologies Ag Method to fill deep trench structures with void-free polysilicon or silicon
KR100553683B1 (ko) 2003-05-02 2006-02-24 삼성전자주식회사 반도체 소자 및 그 제조 방법
JP2005044627A (ja) * 2003-07-22 2005-02-17 Denso Corp 内燃機関用スパークプラグ
JP4004448B2 (ja) 2003-09-24 2007-11-07 富士通株式会社 半導体装置およびその製造方法
US6835631B1 (en) 2003-11-20 2004-12-28 Chartered Semiconductor Manufacturing Ltd Method to enhance inductor Q factor by forming air gaps below inductors
KR20070093150A (ko) 2004-01-10 2007-09-17 에이치브이브이아이 세미콘덕터즈, 인크. 전력 반도체 장치 및 그 방법
KR100621884B1 (ko) 2004-02-09 2006-09-14 삼성전자주식회사 보이드를 갖는 트렌치 구조 및 이를 포함하는 인덕터
DE102004022139B4 (de) 2004-05-05 2007-10-18 Atmel Germany Gmbh Verfahren zur Herstellung einer Spiralinduktivität auf einem Substrat und nach einem derartigen Verfahren hergestelltes Bauelement
JP2006066611A (ja) 2004-08-26 2006-03-09 Toshiba Corp 半導体装置
DE102004043356A1 (de) 2004-09-08 2006-03-09 Robert Bosch Gmbh Sensorelement mit getrenchter Kaverne
JP2006269789A (ja) 2005-03-24 2006-10-05 Toshiba Corp 半導体装置及びその製造方法
US20060228864A1 (en) 2005-04-12 2006-10-12 Promos Technologies Inc. Semiconductor devices having a bottle-shaped deep trench capacitor and methods for making the same using Epi-Si growth process
CN100557786C (zh) 2005-04-14 2009-11-04 Nxp股份有限公司 半导体器件及其制造方法
WO2006117734A1 (en) 2005-05-03 2006-11-09 Nxp B.V. Method of manufacturing a semiconductor device and semiconductor device obtained by means of said method
US7279377B2 (en) 2005-08-10 2007-10-09 Micron Technology, Inc. Method and structure for shallow trench isolation during integrated circuit device manufacture
DE102005060855A1 (de) 2005-12-20 2007-06-28 Robert Bosch Gmbh Mikromechanischer kapazitiver Druckwandler und Herstellungsverfahren
US7494856B2 (en) 2006-03-30 2009-02-24 Freescale Semiconductor, Inc. Semiconductor fabrication process using etch stop layer to optimize formation of source/drain stressor
US7709341B2 (en) * 2006-06-02 2010-05-04 Micron Technology, Inc. Methods of shaping vertical single crystal silicon walls and resulting structures
ES2583143T3 (es) * 2006-07-27 2016-09-19 The University Of Tokyo Acero de varias capas y procedimiento de producción de acero de varias capas
US7557002B2 (en) 2006-08-18 2009-07-07 Micron Technology, Inc. Methods of forming transistor devices
US7427803B2 (en) 2006-09-22 2008-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Electromagnetic shielding using through-silicon vias
US7662722B2 (en) 2007-01-24 2010-02-16 International Business Machines Corporation Air gap under on-chip passive device
ITTO20070190A1 (it) 2007-03-14 2008-09-15 St Microelectronics Srl Procedimento di fabbricazione di una membrana di materiale semiconduttore integrata in, ed isolata elettricamente da, un substrato
US8973497B2 (en) * 2007-04-24 2015-03-10 Probity Engineering, Llc Flexographic proofing tools and methods
JP2009099841A (ja) * 2007-10-18 2009-05-07 Toshiba Corp 半導体装置及びその製造方法
GB2453366B (en) * 2007-10-04 2011-04-06 Toshiba Res Europ Ltd Automatic speech recognition method and apparatus
US20090127648A1 (en) 2007-11-15 2009-05-21 Neng-Kuo Chen Hybrid Gap-fill Approach for STI Formation
KR20090067576A (ko) 2007-12-21 2009-06-25 삼성전자주식회사 트렌치의 매립 방법 및 이를 이용한 소자 분리막 구조물의형성 방법
US7927963B2 (en) * 2008-08-07 2011-04-19 International Business Machines Corporation Integrated circuit structure, design structure, and method having improved isolation and harmonics
US8263437B2 (en) * 2008-09-05 2012-09-11 STATS ChiPAC, Ltd. Semiconductor device and method of forming an IPD over a high-resistivity encapsulant separated from other IPDS and baseband circuit
JP5498011B2 (ja) * 2008-11-13 2014-05-21 株式会社東芝 不揮発性半導体記憶装置
US8319278B1 (en) 2009-03-31 2012-11-27 Maxpower Semiconductor, Inc. Power device structures and methods using empty space zones
US8203137B2 (en) 2009-07-13 2012-06-19 Hewlett-Packard Development Company, L.P. Photonic structure
JP5726005B2 (ja) * 2010-08-02 2015-05-27 アイメックImec Cmos撮像装置アレイの製造方法
US8674472B2 (en) * 2010-08-10 2014-03-18 International Business Machines Corporation Low harmonic RF switch in SOI
US20120211805A1 (en) 2011-02-22 2012-08-23 Bernhard Winkler Cavity structures for mems devices
US8507989B2 (en) * 2011-05-16 2013-08-13 International Business Machine Corporation Extremely thin semiconductor-on-insulator (ETSOI) FET with a back gate and reduced parasitic capacitance
CN102214684B (zh) 2011-06-03 2012-10-10 清华大学 一种具有悬空源漏的半导体结构及其形成方法
CN102820227B (zh) 2011-06-08 2015-08-19 无锡华润上华半导体有限公司 一种深沟槽超级pn结的形成方法
CN102959711B (zh) 2011-06-28 2014-06-18 松下电器产业株式会社 半导体装置及其制造方法
CN104066787B (zh) * 2012-01-17 2018-12-25 旭化成株式会社 导电性聚缩醛树脂组合物及成形体
KR20140124386A (ko) 2012-02-13 2014-10-24 어플라이드 머티어리얼스, 인코포레이티드 실리콘-트렌치 필 상에서의 선택적인 에피택셜 게르마늄 성장 및 인-시튜 도핑
US8907408B2 (en) 2012-03-26 2014-12-09 Infineon Technologies Austria Ag Stress-reduced field-effect semiconductor device and method for forming therefor
US9048284B2 (en) 2012-06-28 2015-06-02 Skyworks Solutions, Inc. Integrated RF front end system
US9711392B2 (en) 2012-07-25 2017-07-18 Infineon Technologies Ag Field emission devices and methods of making thereof
US8564058B1 (en) 2012-08-07 2013-10-22 Force Mos Technology Co., Ltd. Super-junction trench MOSFET with multiple trenched gates in unit cell
US8772126B2 (en) 2012-08-10 2014-07-08 Infineon Technologies Ag Method of manufacturing a semiconductor device including grinding from a back surface and semiconductor device
US9093491B2 (en) 2012-12-05 2015-07-28 International Business Machines Corporation Bipolar junction transistors with reduced base-collector junction capacitance
US9006045B2 (en) * 2013-03-11 2015-04-14 Globalfoundries Inc. Transistor including a gate electrode extending all around one or more channel regions
JP5834179B2 (ja) 2013-04-16 2015-12-16 パナソニックIpマネジメント株式会社 炭化珪素半導体装置の製造方法
US9029229B2 (en) 2013-05-29 2015-05-12 International Business Machines Corporation Semiconductor device and method of forming the device by forming monocrystalline semiconductor layers on a dielectric layer over isolation regions
US9159817B2 (en) 2013-11-19 2015-10-13 International Business Machines Corporation Heterojunction bipolar transistors with an airgap between the extrinsic base and collector
EP2887399B1 (en) * 2013-12-20 2017-08-30 Imec A method for manufacturing a transistor device and associated device
US9653477B2 (en) 2014-01-03 2017-05-16 International Business Machines Corporation Single-chip field effect transistor (FET) switch with silicon germanium (SiGe) power amplifier and methods of forming
US9059252B1 (en) 2014-02-10 2015-06-16 International Business Machines Corporation Silicon waveguide on bulk silicon substrate and methods of forming
US20150318665A1 (en) 2014-02-28 2015-11-05 Hewlett-Packard Development Company, L.P. Thermal Shunt
US9355972B2 (en) 2014-03-04 2016-05-31 International Business Machines Corporation Method for making a dielectric region in a bulk silicon substrate providing a high-Q passive resonator
US9224858B1 (en) 2014-07-29 2015-12-29 Globalfoundries Inc. Lateral double-diffused metal oxide semiconductor field effect transistor (LDMOSFET) with a below source isolation region and a method of forming the LDMOSFET
US9570564B2 (en) 2014-08-05 2017-02-14 Globalfoundries Inc. Self-aligned emitter-base bipolar junction transistor with reduced base resistance and base-collector capacitance
US9536999B2 (en) 2014-09-08 2017-01-03 Infineon Technologies Ag Semiconductor device with control structure including buried portions and method of manufacturing
US9349793B2 (en) 2014-09-08 2016-05-24 International Business Machines Corporation Semiconductor structure with airgap
US9640538B2 (en) 2014-10-29 2017-05-02 Globalfoundries Inc. Embedded DRAM in replacement metal gate technology
US9726547B2 (en) 2014-11-25 2017-08-08 Globalfoundries Inc. Microbolometer devices in CMOS and BiCMOS technologies
US9324846B1 (en) 2015-01-08 2016-04-26 Globalfoundries Inc. Field plate in heterojunction bipolar transistor with improved break-down voltage
KR101666752B1 (ko) 2015-06-18 2016-10-14 주식회사 동부하이텍 고비저항 기판 상에 형성된 반도체 소자 및 무선 주파수 모듈
US9722057B2 (en) 2015-06-23 2017-08-01 Global Foundries Inc. Bipolar junction transistors with a buried dielectric region in the active device region
US9960240B2 (en) 2015-10-21 2018-05-01 International Business Machines Corporation Low resistance contact structures for trench structures
ITUB20161081A1 (it) 2016-02-25 2017-08-25 St Microelectronics Srl Dispositivo a semiconduttore con regione conduttiva sepolta, e metodo di fabbricazione del dispositivo a semiconduttore
US20180083098A1 (en) 2016-09-21 2018-03-22 Qualcomm Incorporated Rf device with reduced substrate coupling
US9922973B1 (en) 2017-06-01 2018-03-20 Globalfoundries Inc. Switches with deep trench depletion and isolation structures
US10109490B1 (en) 2017-06-20 2018-10-23 Globalfoundries Inc. Cobalt interconnects formed by selective bottom-up fill
US10461152B2 (en) * 2017-07-10 2019-10-29 Globalfoundries Inc. Radio frequency switches with air gap structures
US10446643B2 (en) 2018-01-22 2019-10-15 Globalfoundries Inc. Sealed cavity structures with a planar surface

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5972758A (en) * 1997-12-04 1999-10-26 Intel Corporation Pedestal isolated junction structure and method of manufacture
US20050176222A1 (en) * 2002-05-08 2005-08-11 Atsushi Ogura Semiconductor substrate manufacturing method and semiconductor device manufacturing method, and semiconductor substrate and semiconductor device manufactured by the methods
US20130210207A1 (en) * 2009-11-30 2013-08-15 Fujitsu Semiconductor Limited Fabrication method of semiconductor device and fabrication method of dynamic threshold transistor
CN103563059A (zh) * 2011-06-28 2014-02-05 国际商业机器公司 置换金属栅极工艺流程中具有低电阻源极区和漏极区的方法和结构
CN103247684A (zh) * 2012-02-13 2013-08-14 台湾积体电路制造股份有限公司 具有低衬底漏电的绝缘栅双极型晶体管结构
US20130320459A1 (en) * 2012-06-01 2013-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Isolation Structure with Air Gaps in Deep Trenches
CN103456768A (zh) * 2012-06-01 2013-12-18 台湾积体电路制造股份有限公司 深沟槽中具有气隙的半导体隔离结构
US20150348825A1 (en) * 2014-05-30 2015-12-03 Magnachip Semiconductor, Ltd. Semiconductor device with voids within silicon-on-insulator (soi) structure and method of forming the semiconductor device
US9508810B1 (en) * 2015-11-16 2016-11-29 International Business Machines Corporation FET with air gap spacer for improved overlap capacitance

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112582462A (zh) * 2019-09-27 2021-03-30 格芯美国公司 空气间隔物结构
CN114428377A (zh) * 2020-10-29 2022-05-03 格芯(美国)集成电路科技有限公司 与一个或多个气隙集成的光栅耦合器
CN114578477A (zh) * 2020-12-01 2022-06-03 格芯(美国)集成电路科技有限公司 具有衰减器的波导
CN114578477B (zh) * 2020-12-01 2024-06-07 格芯(美国)集成电路科技有限公司 具有衰减器的波导
WO2023040424A1 (en) * 2021-09-20 2023-03-23 International Business Machines Corporation Semiconductor structure having bottom isolation and enhanced carrier mobility
US11923363B2 (en) 2021-09-20 2024-03-05 International Business Machines Corporation Semiconductor structure having bottom isolation and enhanced carrier mobility

Also Published As

Publication number Publication date
US20200013855A1 (en) 2020-01-09
US20190013382A1 (en) 2019-01-10
CN109244033B (zh) 2024-03-19
US10461152B2 (en) 2019-10-29
TWI707475B (zh) 2020-10-11
TW201909424A (zh) 2019-03-01
US10903316B2 (en) 2021-01-26

Similar Documents

Publication Publication Date Title
CN109244033A (zh) 具有气隙结构的射频开关
US10446643B2 (en) Sealed cavity structures with a planar surface
CN103915494B (zh) FinFET的鳍结构
US20200235207A1 (en) Iii-v semiconductor devices with selective oxidation
CN103107196B (zh) 鳍式场效应晶体管及其制造方法
CN103000572B (zh) 高k金属栅极器件的接触件
US10916468B2 (en) Semiconductor device with buried local interconnects
CN104241135B (zh) 在硅化物形成之前在触点蚀刻之后添加的电介质衬里
US8395217B1 (en) Isolation in CMOSFET devices utilizing buried air bags
CN108172544B (zh) 具有深沟槽隔离结构的主动及被动组件
CN108987462A (zh) 具有深沟槽耗尽和隔离结构的开关
CN104733321B (zh) 制造FinFET器件的方法
US10833153B2 (en) Switch with local silicon on insulator (SOI) and deep trench isolation
US11728348B2 (en) Vertically stacked field effect transistors
CN109817696A (zh) 深沟槽隔离结构
US8603918B2 (en) Semiconductor devices and methods of manufacture thereof
CN102299177B (zh) 一种接触的制造方法以及具有该接触的半导体器件
US10096689B2 (en) Low end parasitic capacitance FinFET
JP2023097349A (ja) デバイスおよび半導体デバイスを製造するための方法(密度スケーリングのための背面電源レールおよび配電網)
EP4154308A1 (en) Semiconductor device, semiconductor die, and method of manufacturing a semiconductor device
CN115719761A (zh) 具有栅控集电极的横向双极型晶体管
CN101192538A (zh) 用于应变硅mos晶体管的使用硬掩模的刻蚀方法和结构

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right

Effective date of registration: 20201201

Address after: California, USA

Applicant after: Lattice core USA Inc.

Address before: Grand Cayman Islands

Applicant before: GLOBALFOUNDRIES INC.

TA01 Transfer of patent application right
GR01 Patent grant
GR01 Patent grant