CN109075025A - 在化学气相沉积工艺期间的动态晶片校平、倾斜、旋转 - Google Patents

在化学气相沉积工艺期间的动态晶片校平、倾斜、旋转 Download PDF

Info

Publication number
CN109075025A
CN109075025A CN201780023993.6A CN201780023993A CN109075025A CN 109075025 A CN109075025 A CN 109075025A CN 201780023993 A CN201780023993 A CN 201780023993A CN 109075025 A CN109075025 A CN 109075025A
Authority
CN
China
Prior art keywords
substrate support
substrate
dynamic
remaining
installed position
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780023993.6A
Other languages
English (en)
Other versions
CN109075025B (zh
Inventor
A·K·班塞尔
J·C·罗查-阿尔瓦雷斯
K·嘉纳基拉曼
T·A·恩古耶
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN109075025A publication Critical patent/CN109075025A/zh
Application granted granted Critical
Publication of CN109075025B publication Critical patent/CN109075025B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本说明书所述实施例总体上有关在沉积工艺期间对基板支撑件与气体分配媒介之间的处理间距的动态、实时控制。于沉积工艺期间的任何时间,利用多维自由度来改变基板平面相对于气体分配媒介的角度及间距。如此,在沉积工艺期间可校平、倾斜、旋转、摇晃和/或移动基板和/或基板支撑件,以达到改善的膜均匀度。此外,由于在基板平面相对于喷头的校平的持续变化,可得到对各层的独立调校,以平均化基板上的有效沉积,因而改良整体的叠层沉积性能。

Description

在化学气相沉积工艺期间的动态晶片校平、倾斜、旋转
技术领域
本公开的实施例总体上关于半导体制造,更特定言之涉及在沉积工艺期间用于达成形成在基板上的层的所欲厚度均匀性的方法及设备。
背景技术
半导体器件的制造涉及通过气体的化学反应在半导体基板上形成薄膜。此种沉积工艺称为化学气相沉积(CVD)。常规的热CVD工艺供应反应气体至基板表面,在基板表面上能发生热致化学反应以产生所欲的膜。等离子体增强CVD工艺通过对接近基板表面的反应区施加能量(诸如射频(RF)能量)来促进反应气体的激发和/或解离,因而形成具高反应性物质的等离子体。所释放的物质的高反应性减少发生化学反应所需的能量,因此降低用于此种CVD工艺的温度。
基板于腔室中进行处理的期间(诸如在基板上形成层的期间)是放在基板支撑件上。基板支撑件常见为基板加热器,其在基板处理期间支撑并加热该基板。基板放在该加热器的加热器表面上方,而热被供应至该基板的底部。一些基板加热器为电阻加热的,例如通过诸如设置在加热器表面之下或是嵌入于该加热器表面之上或之中的板中的电阻线圈之类的电性加热。来自基板加热器的热是用于沉积包括无掺杂硅玻璃(USG)层、掺杂硅玻璃层、及类似层的热驱动工艺(诸如热CVD)中的主要能量源。
基板支撑件常见将基板支撑在气体分配媒介对面,反应气体穿过该气体分配媒介供应至腔室中。气体分配媒介经常为气体分配组合件的一部分,该气体分配组合件用于供应一或更多气体至腔室。气体分配组合件的形式可以是平面喷头、面板、曲线喷头、一连串喷嘴,或固定于一位置处的任何形式。从气体分配媒介往基板的气流影响在基板上形成的层的均匀性,诸如该层的厚度。此外,该基板支撑件对于基板平面与分配化学蒸气所在的位置之间的处理间距敏感。
通常进行基板支撑件的定位和/或移动以调整基板的位置供处理。一旦已到达所欲的位置,常见维持该位置以确保该基板在沉积工艺期间不移动。然而,即使在沉积期间限制基板的移动,仍存在沉积的不均匀性。
因此,本领域需要的是用于实现对气体分配组件与基板支撑件之间的处理间距的动态、实时的控制的方法和***,使得能在沉积期间有多维自由度去改变基板平面相对于气体分配媒介的角度及间距。
发明内容
本说明书所述实施例总体上有关在沉积工艺期间对基板支撑件与气体分配媒介之间的处理间距的动态、实时控制。于沉积工艺期间的任何时间,利用多维自由度来改变基板平面相对于气体分配媒介的角度及间距。如此,在沉积工艺期间可校平、倾斜、旋转、摇晃和/或移动基板和/或基板支撑件,以达到改善的膜均匀度。此外,由于基板平面相对于喷头的水平的持续变化,可得到对各层的独立调校,以平均化基板上的有效沉积,因而改良整体的叠层沉积性能。
在一实施例中,公开一种控制在气体分配媒介与基板支撑件之间的处理间距的方法,该基板支撑件设置在该气体分配媒介对面。该方法包括:(a)在设置在该基板支撑件上的基板上沉积层,(b)测量该基板上的该层的厚度,及(c)计算该基板上的参考位置与该基板上的多个其余位置之间的厚度差。该方法进一步包括(d)基于该参考位置与该多个其余位置之间的该厚度差,决定该多个其余位置相对于该参考位置的处理间距调整量,及(e)实时地动态调整该处理间距,以减少该参考位置与该多个其余位置中的各其余位置之间的该厚度差。该动态调整步骤发生在该沉积步骤期间。
在另一实施例中,公开一种控制气体分配媒介与基板支撑件之间的处理间距的方法,该基板支撑件设置在该气体分配媒介对面。该方法包括在耦合至该基板支撑件的第一安装位置处动态调整该基板支撑件,以及在耦合至该基板支撑件的第二安装位置处动态调整该基板支撑件。在该第一安装位置处动态调整该基板支撑件的步骤及在该第二安装位置处动态调整该基板支撑件的步骤发生在化学气相沉积工艺期间且为实时的,以减少沉积不均匀性的差异。
在又一另外实施例中,公开一种控制气体分配媒介与基板支撑件之间的处理间距的方法,该基板支撑件设置在该气体分配媒介对面。该方法包括(a)在设置在该基板支撑件上的基板上沉积层,(b)测量该基板上的该层的厚度,及(c)计算该基板上的参考位置与该基板上的多个其余位置之间的厚度差。该方法还包括(d)基于该参考位置与该多个其余位置之间的该厚度差,决定该多个其余位置相对于该参考位置的处理间距调整量,及(e)在耦合至该基板支撑件的第一安装位置处实时地动态调整该基板支撑件,以减少该参考位置与该多个其余位置中的各其余位置之间的该厚度差。该方法还包括(f)在耦合至该基板支撑件的第二安装位置处实时地动态调整该基板支撑件,以减少该参考位置与该多个其余位置中的各其余位置之间的该厚度差,其中各动态调整步骤发生在该沉积步骤期间。
附图说明
以上简单摘要了本公开,以详细理解本公开之前述特征的方式,因此能通过参照本案实施例(其中部分图示于随附附图中)来对本公开做更特定的说明。然而,应注意随附附图仅为例示实施例,且因此不被认为限制本公开的范围,可承认有其他同等有效的实施方式。
图1示意地图示按照本说明书所述的一实施例,在沉积工艺期间用于控制处理间距的一方法的操作。
图2示意地图示按照本说明书所述的实施例,在沉积工艺期间用于控制处理间距的一方法的操作。
图3示意地图示按照本说明书所述的实施例,在沉积工艺期间用于控制处理间距的一方法的操作。
图4A示意地图示按照本说明书所述的实施例的处理腔室的截面图。
图4B示意地图示按照本说明书所述实施例的一种设备,该设备用于连同图4A的处理腔室使用。
为了促进了解,已尽可能使用相同参照符号来指称附图之间共享的组件。无需进一步说明,可想到一实施例中的组件及特征可有益地被并入其他实施例中。
具体实施方式
本说明书所述实施例总体上有关在沉积工艺期间对基板支撑件与气体分配媒介之间的处理间距的动态、实时控制。于沉积工艺期间的任何时间,利用多维自由度来改变基板平面相对于气体分配媒介的角度及间距。如此,在沉积工艺期间可校平、倾斜、旋转、摇晃和/或移动基板和/或基板支撑件,以达到改善的膜均匀度。此外,由于基板平面相对于喷头的校平的持续变化,可得到对各层的独立调校,以平均化基板上的有效沉积,因而改良整体的叠层沉积性能。
如本说明书中所述,“基板”或“基板表面”一般指称在其上进行处理的任何基板表面。例如,依应用而异,基板表面可包括硅、氧化硅、掺杂硅、硅锗、锗、砷化镓、玻璃、蓝宝石、及任何其他材料(诸如金属、金属氮化物、金属合金、及其他导电或半导电材料)。基板或基板表面可亦包括介电材料,诸如二氧化硅、氮化硅、有机硅酸酯、及碳掺杂氧化硅或氮化物材料。“基板”一词可进一步包括“晶片”一词。基板本身不限制为任何特定大小或形状。尽管本说明书描述的实施例一般指称圆形基板,但按照本说明书所述实施例,可利用诸如多角形、正方形、矩形、曲线形、或其他非圆形工件之类的其他形状。
图1示意地图示方法100的操作,该方法用于控制处理腔室中的气体分配组件与基板支撑件之间的处理间距。在一些实施例中,该基板支撑件设置在该气体分配组件对面,使得该基板支撑件与该气体分配组件之间的区域中存在处理容积。该处理容积可以是在基板支撑平面与该气体分配组件的固定平面(于该处分配化学蒸气)之间设置的间隙。在一些实施例中,该气体分配组件可经由平面喷头、曲线喷头、一连串喷嘴、或固定在一位置处的任何其他形状因子的分配媒介来分配化学蒸气。方法100可应用于利用基板支撑件的全部化学气相沉积(CVD)工艺。方法100致使能动态且实时地控制该处理间距,并进一步允许在沉积工艺期间随时有多维自由度去改变基板平面相对于气体分配组件的角度及间距。
该处理腔室可亦包括控制器。该控制器促进方法100的控制及自动化。该控制器可耦合至下列中的一或更多者或与之通信:处理腔室、基板支撑件、各测量位置、各测量装置、和/或气体分配组件。在一些实施例中,各测量装置可提供有关基板处理、膜沉积、膜均匀度、基板支撑件移动的信息及其他信息给该控制器。
控制器可包括中央处理单元(CPU)、存储器、及支持电路(或I/O)。CPU可以是用在工业设定中以供控制各种工艺及硬件(例如图案产生器、电机、及其他硬件)并监测该工艺(例如处理时间及基板位置或地点)的任何形式的计算机处理器中的一个。该存储器连接至CPU,且可以是容易获得的存储器中的一或更多种,诸如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘、或任何其他形式的数字存储,无论在本地或远程。能在存储器内编码及存储软件指令及数据,以供指示CPU。支持电路亦连接至CPU以供以常规的方式支持该处理器。支持电路可包括常规的高速缓存、功率供应、时钟电路、输入/输出电路***、子***、等等。该控制器所能读取的程序(或计算机指令)实施本说明书所述方法,和/或决定在基板或基板支撑件上可进行哪些任务。该程序可以是该控制器能读取的软件,且可包括用以监测及控制(例如)该处理腔室内的基板支撑件的处理时间及基板位置或地点的代码。
于操作110,在设置在基板支撑件上的基板上沉积层。该层可以是作为在CVD处理腔室内的各种气相与基板的加热表面之间的反应的结果而形成的薄膜涂层。于操作120,测量该基板上的该层的厚度。可于该基板上的多个位置处测量该层的厚度。该基板支撑件可包括多个测量位置用于测量该基板(或基板支撑件)与该气体分配组件之间的间距。在一些实施例中,各测量位置可包含安装在其上的测量装置。测量位置的个数及接近度可有不同。
于操作130,计算该基板上的参考位置与该基板上的多个其余位置之间的厚度差。该参考位置可预先决定或实时选择。任何点可被选择为参考位置,并计算该参考位置与其余位置之间的厚度差。将该参考位置与其余位置的各者之间的厚度差除以沉积时间以得到该参考位置与其余位置之间的沉积速率差量(differential)。
于操作140,基于该参考位置与该多个其余位置之间的该厚度差除以用于在该基板上形成该层的沉积时间,来决定该多个其余位置相对于该参考位置的处理间距调整量。在一些实施例中,可通过乘以用于在该基板上形成该层的沉积时间,以先前决定的相关因子改进该处理间距调整量,以提供该层的改善的厚度均匀性。该相关因子与一比例成正比,该比例是间距的改变量除以该层的沉积厚度速率。间距的改变量可通过针对各其余位置而言在该气体分配组件及该基板支撑件之间的空间差异所决定。
于操作150,该处理间距被实时地动态调整,以减少该参考位置与该多个其余位置中的各其余位置之间的厚度差。该动态调整步骤发生在该沉积步骤期间。在一些实施例中该动态调整步骤可在该沉积步骤期间发生至少一次,而在其他实施例中该动态调整步骤可在该沉积步骤期间发生多次。在其他实施例中,该动态调整步骤可在该沉积步骤期间持续发生。已经进行测试且结果显示基板上的层的沉积速率能相关于基板与气体分配组件之间的间距,因此可通过在沉积工艺期间改变基板所停放的基板支撑件的倾斜来调整在该基板上形成的层的厚度的均匀性。
该动态调整步骤可包括在沉积工艺期间对该基板或基板支撑件校平、倾斜、旋转、摇晃、摇动、震动、或类似者。在一些实施例中,该动态调整步骤可包括在沉积期间持续地改变该基板或该基板支撑件的平面。在其他实施例中,该动态调整步骤可包括持续地改变该多个其余位置的各者的平面。进一步,该动态调整步骤可包括改变该基板支撑件的平面相对于该气体分配组件的角度和/或间距。
在一些实施例中,可重复操作110~150中的各者直到该沉积完成。
图2示意地图示方法200的操作,该方法用于控制气体分配组件与设置在该气体分配组件对面的基板支撑件之间的处理间距。该气体分配组件及该基板支撑件各自设置在处理腔室中,及在一些实施例中,该气体分配组件设置成与该基板支撑件相邻。在一些实施例中,该基板支撑件设置在该气体分配组件对面,使得在基板支撑件与气体分配组件之间的区域中存在处理容积。有关方法200所公开的气体分配组件、基板支撑件、处理腔室、间隙、和/或处理容积可大致类似于有关方法100所公开的处理容积(如上所讨论)。此外,有关方法200所公开的处理腔室可亦包括控制器。该控制器促进方法200的控制及自动化,且实质上类似于有关如上讨论的方法100所公开的控制器。方法200可应用于利用基板支撑件的所有化学气相沉积(CVD)工艺。方法200致使能动态且实时地控制该处理间距,并进一步允许在沉积工艺期间随时有多维自由度去改变基板平面相对于气体分配组件的角度及间距。
于操作210,在耦合至基板支撑件的第一安装位置处动态调整该基板支撑件。在特定实施例中,该基板支撑件可耦合至一或更多调整组件。此外,在一些实施例中,该一或更多调整组件可于分布在该基板支撑件上的多个安装位置处耦合至该基板支撑件。调整组件可独立地调整来改变于安装位置处该基板支撑件与该气体分配板之间的间距。如此,于多个对应安装位置处该基板支撑件与该气体分配板之间的间距改变,因而调整了该基板支撑件相对于该气体分配组件的倾斜。在一些实施例中,该一或更多安装位置可相对于该基板支撑件的中心围绕该基板支撑件均匀地分布。此外,该一或更多安装位置可设置在该基板支撑件的底侧上。在一些实施例中,可利用至少三个安装位置,各安装位置具有调整组件操作性地耦合至该安装位置。
于操作220,在耦合至该基板支撑件的第二安装位置处动态调整该基板支撑件。在该第一安装位置处动态调整该基板支撑件的步骤及在该第二安装位置处动态调整该基板支撑件的步骤发生在化学气相沉积工艺期间且为实时的,以减少沉积不均匀性的差异。
各动态调整步骤可包括在沉积工艺期间对该基板支撑件或该基板进行校平、倾斜、旋转、或摇晃中的一者。在特定实施例中,在该第一安装位置处动态调整该基板支撑件的步骤及在该第二安装位置处动态调整该基板支撑件的步骤同时发生,而在其他实施例中各动态调整步骤可发生于不同时间,和/或开始于分开的时间。方法200可进一步包括重复在该第一安装位置处动态调整该基板支撑件的步骤及在该第二安装位置处动态调整该基板支撑件的步骤,直到该化学气相沉积工艺完成。
此外,在特定实施例中,方法200可进一步包括在耦合至该基板支撑件的第三安装位置处动态调整该基板支撑件,其中在该第三安装位置处动态调整该基板支撑件的步骤发生在该化学气相沉积工艺期间且为实时的,以减少沉积不均匀性的差异。在包含了在该第三安装位置处动态调整该基板支撑件的步骤的实施例中,在该第一安装位置处动态调整该基板支撑件的步骤、在该第二安装位置处动态调整该基板支撑件的步骤及在该第三安装位置处动态调整该基板支撑件的步骤各者可同时发生,或者,在一些实施例中,各步骤可开始于不同时间,和/或各步骤可发生于分开的时间。
图3示意地图示方法300的操作,该方法用以控制在气体分配组件与设置在该气体分配组件对面的基板支撑件之间的处理间距。该气体分配组件及该基板支撑件各自设置在处理腔室中,而在一些实施例中,该气体分配组件设置成与该基板支撑件相邻。在一些实施例中,该基板支撑件设置在该气体分配组件对面,使得在基板支撑件与气体分配组件之间的区域中存在处理容积。有关方法300所公开的气体分配组件、基板支撑件、处理腔室、间隙、和/或处理容积可大致类似于有关方法100和/或方法200所公开的处理容积(如上所讨论)。此外,有关方法300所公开的处理腔室可也包括控制器。该控制器促进方法300的控制及自动化,且实质上类似于有关如上讨论的方法100和/或方法200所公开的控制器。方法300可应用于利用基板支撑件的所有化学气相沉积(CVD)工艺。方法300致使能动态且实时地控制该处理间距,并进一步允许在沉积工艺期间随时有多维自由度去改变基板平面相对于气体分配组件的角度及间距。
于操作310,在设置在该基板支撑件上的基板上沉积层。该层可以是作为在CVD处理腔室内的各种气相与基板的加热表面之间的反应的结果而形成的薄膜涂层。于操作320,测量设置在该基板上的该层的厚度。可于该基板上的一个位置处或多个位置处测量该层的厚度。该基板支撑件可包括多个测量位置用于测量该基板(或基板支撑件)与该气体分配组件之间的间距。在一些实施例中,各测量位置可包含安装在其上的测量装置。测量位置的个数及接近度可有不同。
于操作330,计算该基板上的参考位置与该基板上的多个其余位置之间的厚度差。该参考位置可预先决定或实时选择。任何点可被选择为参考位置,并计算该参考位置与其余位置之间的厚度差。将该参考位置与其余位置的各者之间的厚度差除以沉积时间以得到该参考位置与其余位置之间的沉积速率差量。
于操作340,针对该多个其余位置决定相对于该参考位置的处理间距调整量。该处理间距调整量的决定是根据该参考位置与该多个其余位置之间的该厚度差。在一些实施例中,该决定步骤包括将该参考位置与该多个其余位置中各其余位置之间的厚度差除以在该基板上形成该层的沉积时间,再乘以先前决定的相关因子,以提供该层的改善的厚度均匀性。该相关因子与一比例成正比,该比例是间距的改变量除以该层的沉积厚度速率。间距的改变量可以是或各其余位置在该气体分配组件及该基板支撑件之间的空间差异。
于操作350,在耦合至该基板支撑件的第一安装位置处实时地动态调整该基板支撑件,以减少该参考位置与该多个其余位置中各其余位置之间的厚度差。在特定实施例中,该基板支撑件可耦合至一或更多调整组件。此外,在一些实施例中,该一或更多调整组件可于分布在该基板支撑件上的一或更多安装位置处耦合至该基板支撑件。调整组件可独立地调整以改变这些安装位置处该基板支撑件与该气体分配板之间的间距。如此,于多个对应安装位置处该基板支撑件与该气体分配板之间的间距改变,因而调整了该基板支撑件相对于该气体分配组件的倾斜。在一些实施例中,该一或更多安装位置可相对于该基板支撑件的中心围绕该基板支撑件均匀地分布。此外,该一或更多安装位置可设置在该基板支撑件的底侧上。在一些实施例中,可利用至少三个安装位置,各安装位置具有调整组件操作性地耦合至该安装位置。
于操作360,在耦合至该基板支撑件的第二安装位置处实时地动态调整该基板支撑件,以减少该参考位置与该多个其余位置中各其余位置之间的厚度差。于操作350及操作360中的各者处,动态调整步骤发生在该沉积步骤期间。在特定实施例中,方法300可进一步包括重复操作310、操作320、操作330、操作340、及操作350的各者直到沉积完成。各动态调整步骤可包括在沉积工艺期间对该基板支撑件或基板进行校平、倾斜、旋转、或摇晃中的一者。
在特定实施例中,在该第一安装位置处动态调整该基板支撑件的步骤及在该第二安装位置处动态调整该基板支撑件的步骤同时发生,而在其他实施例中各动态调整步骤可发生于不同时间,和/或开始于分开的时间。此外,在该第一安装位置处动态调整该基板支撑件的步骤及在该第二安装位置处动态调整该基板支撑件的步骤发生在化学气相沉积工艺期间且为实时的,以减少沉积不均匀性的差异。
此外,在特定实施例中,方法300可进一步包括在耦合至该基板支撑件的第三安装位置处动态调整该基板支撑件,其中在该第三安装位置处动态调整该基板支撑件的步骤发生在该化学气相沉积工艺期间且为实时的,以减少沉积不均匀性的差异。在包含了在该第三安装位置处动态调整该基板支撑件的步骤的实施例中,在该第一安装位置处动态调整该基板支撑件的步骤、在该第二安装位置处动态调整该基板支撑件的步骤及在该第三安装位置处动态调整该基板支撑件的步骤各者可同时发生,或者,在一些实施例中,各步骤可开始于不同时间,和/或各步骤可发生于分开的时间。
在特定实施例中,通过将一或更多安装位置处(如上所讨论)的垂直调整自动化来达到动态的基板校平、倾斜、旋转、或摇晃。在一些实施例中,对于操作性地连接至该基板支撑件的至少两安装位置的垂直调整可在维持第三安装位置固定的同时进行,这可校平、倾斜、旋转、或摇晃该基板支撑件。
图4A示意地图示单一CVD反应器400的实施例的截面图。在一些实施例中,以及如图4A中所绘,反应器400可包括处理腔室450、电源416、气体控制板436、泵送***438及控制器446。
本说明书所公开的CVD反应器400和/或处理腔室450可被用以进行如上所讨论的方法100、方法200、或方法300。
处理腔室450一般包括底部组合件454、上部组合件452、及底座升降组合件431。处理腔室450可包括额外的设备(如下说明)以控制或改变腔室环境。
底部组合件454包含腔室主体456,该腔室主体具有壁406,其局部地限定处理腔室450的内部。壁406可大致为圆柱形且由盖子410封闭于上端。壁406的分段可被温度调节。例如,在一些实施例中,可在壁406中设置多个管道(未图示),该多个管道经配置以循环热传送流体来调节壁406的温度。
在底部组合件454中设置有基板支撑件411以供在处理期间支撑基板。基板支撑件411可包括加热器420,加热器420经配置以调节该基板的温度和/或处理腔室450的处理468容积中的温度。加热器420耦合至电源416,且在一些实施例中,该加热器可将基板维持在高达约摄氏800度的温度。
在腔室主体456的壁406中可定位狭缝阀开口414,以促成基板进出处理腔室450的进出口。
上部组合件452一般包括盖子410,并可进一步包括气体馈入口、气体混合器、远程等离子体源、及一或更多气体分配组件466。盖子410可被可移动地耦合至底部组合件454。盖子410可进一步包括一或更多沟槽或通道478以供热传送流体从中流过以协助将盖子410维持于所欲温度。在一些实施例中,可提供歧管480用于往来于通道478转送热传送流体。
气体控制板436提供工艺化学物质(以液体和/或气体形式)至处理腔室450。气体控制板436使用多个气体线路耦合至盖子410。各气体线路可经调适以从气体控制板436传送特定化学物质至进入口458,且各气体线路可受温度控制。在一些实施例中,可在盖子歧管464中提供一或更多气体馈入口462,该盖子歧管464耦合至盖子410的上表面以促进输送工艺化学物质至工艺腔室450。
盖子歧管464一般穿过盖子410提供工艺材料至工艺腔室。在一些实施例中,盖子410可包括进入口458及混合器413。混合器413可通往气体分配组件466(诸如喷头)以向处理腔室450的内部(诸如处理容积468)提供工艺材料。喷头通过多个开口提供对从气体控制板436输送的气体或蒸气的分配。
例如,在处理期间,馈入气体可在穿过进入口458至盖子410中的混合器413及第一阻隔板404中的孔洞(未图示)之前,通过气体输送***(例如,气体控制板436及所关联的设备)进入处理腔室450。馈入气体接着行经第一阻隔板404与第二阻隔板405之间所建立的混合区域402。第二阻隔板405在结构上由面板延伸件403支撑。在馈入气体穿过第二阻隔板405中的孔洞之后,该馈入气体流过面板408中的孔洞并进入由腔室壁406、面板408、及基板支撑件411所限定的主要处理区域。可选地,处理腔室450可包括***件(insert)401,***件401设置在腔室壁406的上表面与盖子410之间,该***件经加热以提供热给面板延伸件403以加热混合区域402。
底座升降组合件431耦合至处理腔室450的基座460并进一步耦合至基板支撑件411。底座升降组合件431可包含升降机构430、升降板418、及一组升降销422。操作中,底座升降组合件431控制基板支撑件411在处理位置及降下位置之间的上升,该基板可从该降下位置通过狭缝阀开口414被输送进出处理腔室450。基板支撑件411利用柔性波纹管432耦合至腔室主体456,以维持处理腔室450内部与外部之间的气密密封。
泵送***438一般包括节流阀或一或更多个泵,该节流阀或该一或更多个泵经布置以控制处理腔室450的内容积中的压力。从处理腔室450流出的气体按路线输送穿过泵送环(pumping ring)以增强横跨该基板表面的气流均匀性。例如,排出气体可穿过排气泵送板(exhaust pumping plate)409、泵送口426、以及最终穿过泵送***438离开腔室,该泵送口形成在壁406中且耦合至排气泵送板409。排气泵送板409经配置以控制从腔室的处理区域的排气的流动。排气泵送板409可包括往下延伸的裙部,该裙部在其一分段中形成有从中穿过的多个孔洞407。排气泵送板409的该裙部的具有孔洞407的该分段(图中显示成一连串狭缝形的孔洞)促成补偿靠近狭缝阀开口414处的热损失。在一些实施例中,排气泵送板409可具有排气板罩412,其放在排气泵送板409的顶端上。
图4B示意地图示设备500,该设备用于动态调整设置在处理腔室450(如图4A中所示)中的基板支撑件,以进行本说明书中公开的方法。如图4A中显示,基板支撑件411设置在处理腔室450中,与气体分配组件466相邻,使得在其间形成处理容积468。如图4B中所示,支撑件504可围绕底座升降组合件431。支撑件504耦合至安装板502,该安装板可耦合至基板支撑件411。安装板502可包括支撑托架514以供在安装板502上的安装位置520处耦合至少一个调整组件518。在一些实施例中,安装板502可包括三个安装位置520,各安装位置具有调整组件518耦合至该安装位置。
各调整组件518可伸长和/或收缩以调整调整组件518的长度,因而制造校平、倾斜、旋转、或摇晃。在一些实施例中,电机522可调整各调整组件518的长度。调整组件518具有相配组件516连接至其。在一些实施例中,相配组件516是球接头接口,其延伸自调整组件518并连接至支撑件504。在一些实施例中该球接头接口可被锁定或位于特定安装位置520处。在一些实施例中,第一球接头接口可被锁定,同时第二球接头接口和/或第三球接头接口致使能提供该基板支撑件围绕球接头位置的垂直调整、校平、倾斜、旋转、或摇晃。在一些实施例中,可经由电机522的使用来自动化垂直调整、校平、倾斜、旋转、或摇晃。
回到图4A,处理腔室450可进一步包括控制器446。控制器446可促进处理腔室450、安装板502、基板支撑件411、和/或以上的任何组件的控制及自动化。控制器446可耦合至处理腔室450、基板支撑件411、底座升降组合件431、调整组件518、安装板502和/或气体分配组件466及其他组件中的一或更多者,或与之通信。此外,在一些实施例中,控制器446可控制和/或自动化处理间距调校。当被给予前次处理行程的基板性能时,控制器446可接收有关所述基板性能的反馈并进一步决定一个新平面,以动态调整、移位、校平、旋转、倾斜、摇晃、和/或移动自基板上结果的歪斜(skew)。如此,控制器446可针对基于前次处理间距及所达成结果的反馈回路包含进一步程序编写。在特定实施例中,经自动化的工艺可实时发生。
控制器446可包括中央处理单元(CPU)451、存储器443、及支持电路(或I/O)453。CPU 451可以是用在工业设定中以供控制各种工艺及硬件(例如图案产生器、电机、及其他硬件)并监测该工艺(例如处理时间及基板位置或地点)的任何形式的计算机处理器中的一个。存储器443连接至CPU 451,且可以是容易获得的存储器中的一或更多种,诸如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘、或任何其他形式的数字存储,无论在本地或远程。能在存储器443内编码及存储软件指令及数据,以供指示CPU 451。支持电路453亦连接至CPU 451以供以常规的方式支持该处理器。支持电路453可包括常规的高速缓存、功率供应、时钟电路、输入/输出电路***、子***、等等。该控制器所能读取的程序(或计算机指令)实施本说明书所述方法,和/或决定在基板或基板支撑件上可进行哪些任务。该程序可以是该控制器能读取的软件,且可包括用以监测及控制(例如)该处理腔室内的基板支撑件的处理时间及基板位置或地点的代码。
通过在沉积期间以垂直自由度组合式地自动化特定安装位置的调整,基板支撑平面能扫过(sweep through)处理容积468的围绕至少一个调整组件518和/或至少一个相配组件516(诸如球接头)与至少一个安装位置520的间距的任何变化。
未图示的各种其他设备可利用来校平、倾斜、旋转、摇动、调整、和/或摇晃该基板支撑件或基板,且可包括蜗轮传动设备、滚珠螺杆传动设备、或空气支承装置、以及其他,蜗轮传动设备可包括操作性地连接至基板支撑件的至少一个螺纹安装螺柱。
本公开的益处包括动态调整及改变处理容积内设置在基板支撑件与气体分配组件之间的处理间距。进一步的益处包括随时在沉积工艺期间校平基板支撑件和/或基板,以达成更高水平的膜沉积均匀性。额外地,利用各调整组件的独立校平或调校以改善整体的叠层沉积性能。
额外的益处包括经由处理间距的动态调整,在自气体分配组件的传导性和/或流动之中对RF耦合的调变。RF生成等离子体对于电极间(例如气体分配组件到底座)的间距是敏感的。在配方之间动态改变处理间距的能力将每个膜的膜沉积性能优化,以改善叠层膜的整体性能。经由校平、旋转、倾斜、摇晃、和/或移动所为的此种动态调整被连同能够夹持基板的加热器一起利用来改善在要求持续基板移动的工艺上的基板稳定度。
此外,该动态调整步骤还可连同能够夹持基板的加热器一起利用来改善在利用持续基板移动的工艺上的基板稳定度。
曾经进行测试,而结果显示在沉积期间基板支撑平面相对于气体分配组件(诸如喷头或电极)的持续变化允许平均化基板上的有效沉积。电极之间的间隙中的变化诱发不同的沉积速率,而此种控制能选择性地控制一区域的沉积速率或是使整个基板平均。此外,在非等离子体耦合工艺中,结果显示改变喷头之间的间隙诱发不同的流传导性(flowconductances),因而产生不同的前驱物滞留时间。此种控制直接影响膜的沉积厚度,因此使得能有一控制,来进一步改善膜厚度均匀性。
总结来说,本说明书所述的实施例大体涉及在沉积工艺期间对基板支撑件与气体分配媒介之间的处理间距的动态的实时控制。于沉积工艺期间的任何时间,利用多维自由度来改变基板平面相对于气体分配媒介的角度及间距。如此,在沉积工艺期间可校平、倾斜、旋转、摇晃和/或移动基板和/或基板支撑件,以达到改善的膜均匀度。此外,由于基板平面相对于喷头的校平的持续变化,可得到对各层的独立调校,以平均化基板上的有效沉积,因而改良整体的叠层沉积性能。
尽管以上乃针对本公开的实施例,但可在没有背离本公开的基本范围下设想出本公开的其他及进一步实施例,而本公开的范围乃由以下权利要求决定。

Claims (15)

1.一种控制气体分配组件与基板支撑件之间的处理间距的方法,所述基板支撑件设置在所述气体分配组件对面,所述方法包含下列步骤:
(a)在设置在所述基板支撑件上的基板上沉积层;
(b)测量所述基板上的所述层的厚度;
(c)计算所述基板上的参考位置与所述基板上的多个其余位置之间的厚度差;
(d)基于所述参考位置与所述多个其余位置之间的所述厚度差,决定所述多个其余位置相对于所述参考位置的处理间距调整量;及
(e)实时地动态调整所述处理间距,以减少所述参考位置与所述多个其余位置中的各其余位置之间的所述厚度差,其中所述动态调整步骤发生在所述沉积步骤期间。
2.如权利要求1所述的方法,进一步包含下列步骤:
(f)重复步骤(a)至(e)直到所述沉积完成。
3.如权利要求1所述的方法,其中所述动态调整步骤包括下列步骤:在沉积期间校平、倾斜、旋转或摇晃所述基板支撑件或所述基板,且其中所述动态调整步骤进一步包括下列步骤:改变所述基板支撑件的平面相对于所述气体分配组件的角度及间距。
4.如权利要求1所述的方法,其中所述动态调整步骤包含下列步骤:
持续地改变所述多个其余位置的各者的平面,其中所述动态调整步骤发生于多个枢转点。
5.如权利要求1所述的方法,其中决定所述处理间距调整量的步骤包含下列步骤:
决定所述参考位置与所述多个其余位置中的各其余位置之间的厚度差,除以用于在所述基板上形成所述层的沉积时间;及
乘以先前决定的相关因子,以提供所述层的改善的厚度均匀性,其中所述相关因子与一比例成正比,所述比例是间距的改变量除以所述层的沉积厚度速率。
6.一种控制气体分配组件与基板支撑件之间的处理间距的方法,所述基板支撑件设置在所述气体分配组件对面,所述方法包含下列步骤:
在耦合至所述基板支撑件的第一安装位置处动态调整所述基板支撑件;及
在耦合至所述基板支撑件的第二安装位置处动态调整所述基板支撑件,其中在所述第一安装位置处动态调整所述基板支撑件的步骤及在所述第二安装位置处动态调整所述基板支撑件的步骤发生在化学气相沉积工艺期间且为实时的,以减少沉积不均匀性的差异。
7.如权利要求6所述的方法,其中在所述第一安装位置处动态调整所述基板支撑件的步骤及在所述第二安装位置处动态调整所述基板支撑件的步骤同时发生。
8.如权利要求6所述的方法,其中在所述第一安装位置处动态调整所述基板支撑件的步骤及在所述第二安装位置处动态调整所述基板支撑件的步骤各自发生于不同的时间,以及重复在所述第一安装位置处动态调整所述基板支撑件的步骤及在所述第二安装位置处动态调整所述基板支撑件的步骤,直到所述化学气相沉积工艺完成。
9.如权利要求6所述的方法,进一步包含下列步骤:
在耦合至所述基板支撑件的第三安装位置处动态调整所述基板支撑件,其中在所述第三安装位置处动态调整所述基板支撑件的步骤发生在所述化学气相沉积工艺期间且为实时的,以减少沉积不均匀性的差异。
10.如权利要求9所述的方法,其中在所述第一安装位置处动态调整所述基板支撑件的步骤、在所述第二安装位置处动态调整所述基板支撑件的步骤及在所述第三安装位置处动态调整所述基板支撑件的步骤同时发生。
11.如权利要求9所述的方法,其中在所述第一安装位置处动态调整所述基板支撑件的步骤、在所述第二安装位置处动态调整所述基板支撑件的步骤及在所述第三安装位置处动态调整所述基板支撑件的步骤各自开始于不同的时间。
12.如权利要求9所述的方法,其中在所述第一安装位置处动态调整所述基板支撑件的步骤、在所述第二安装位置处动态调整所述基板支撑件的步骤及在所述第三安装位置处动态调整所述基板支撑件的步骤各自发生于分开的时间。
13.一种控制气体分配组件与基板支撑件之间的处理间距的方法,所述基板支撑件设置在所述气体分配组件对面,所述方法包含下列步骤:
(a)在设置在所述基板支撑件上的基板上沉积层;
(b)测量所述基板上的所述层的厚度;
(c)计算所述基板上的参考位置与所述基板上的多个其余位置之间的厚度差;
(d)基于所述参考位置与所述多个其余位置之间的所述厚度差,决定所述多个其余位置相对于所述参考位置的处理间距调整量;
(e)在耦合至所述基板支撑件的第一安装位置处实时地动态调整所述基板支撑件,以减少所述参考位置与所述多个其余位置中的各其余位置之间的所述厚度差;及
(f)在耦合至所述基板支撑件的第二安装位置处实时地动态调整所述基板支撑件,以减少所述参考位置与所述多个其余位置中的各其余位置之间的所述厚度差,其中各动态调整步骤发生在所述沉积步骤期间。
14.如权利要求13所述的方法,进一步包含下列步骤:
(g)重复步骤(a)至(f)直到所述沉积完成。
15.如权利要求13所述的方法,其中各动态调整步骤包括下列步骤:在沉积期间校平、倾斜、旋转或摇晃所述基板支撑件或所述基板,且其中在所述第一安装位置处动态调整所述基板支撑件的步骤及在所述第二安装位置处动态调整所述基板支撑件的步骤各自发生于分开的时间。
CN201780023993.6A 2016-04-22 2017-03-22 在化学气相沉积工艺期间的动态晶片校平、倾斜、旋转 Active CN109075025B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/136,611 US10438860B2 (en) 2016-04-22 2016-04-22 Dynamic wafer leveling/tilting/swiveling steps for use during a chemical vapor deposition process
US15/136,611 2016-04-22
PCT/US2017/023636 WO2017184293A1 (en) 2016-04-22 2017-03-22 Dynamic wafer leveling/tilting/swiveling during a chemical vapor deposition process

Publications (2)

Publication Number Publication Date
CN109075025A true CN109075025A (zh) 2018-12-21
CN109075025B CN109075025B (zh) 2023-06-02

Family

ID=60088564

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780023993.6A Active CN109075025B (zh) 2016-04-22 2017-03-22 在化学气相沉积工艺期间的动态晶片校平、倾斜、旋转

Country Status (5)

Country Link
US (1) US10438860B2 (zh)
KR (2) KR20180127536A (zh)
CN (1) CN109075025B (zh)
TW (1) TWI677042B (zh)
WO (1) WO2017184293A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110842781A (zh) * 2019-11-26 2020-02-28 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 一种非接触式测量方法及装置
CN112410764A (zh) * 2019-08-23 2021-02-26 长鑫存储技术有限公司 气相沉积装置、调整方法、装置、***、介质和电子设备
CN115874167A (zh) * 2023-02-22 2023-03-31 江苏邑文微电子科技有限公司 多工序pecvd设备的喷淋组件自动调平方法和装置

Families Citing this family (232)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US20180073143A1 (en) * 2016-09-12 2018-03-15 Toshiba Memory Corporation Plasma processing apparatus and plasma processing method
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US11078570B2 (en) * 2018-06-29 2021-08-03 Lam Research Corporation Azimuthal critical dimension non-uniformity for double patterning process
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11557473B2 (en) * 2019-04-19 2023-01-17 Applied Materials, Inc. System and method to control PVD deposition uniformity
TW202104628A (zh) 2019-04-19 2021-02-01 美商應用材料股份有限公司 用於控制pvd沉積均勻性的系統及方法
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR102263718B1 (ko) 2019-06-10 2021-06-11 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US20210017647A1 (en) * 2019-07-18 2021-01-21 Robert Bosch Gmbh Localized surface coating defect patching process
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
US11449026B2 (en) * 2020-05-27 2022-09-20 Applied Materials, Inc. Variable loop control feature
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114075660B (zh) * 2020-08-14 2022-09-27 长鑫存储技术有限公司 喷淋头、化学气相沉积设备及其工作方法
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114807902B (zh) * 2021-01-29 2024-02-27 优材科技有限公司 半导体反应装置与反应方法
TWI807253B (zh) * 2021-01-29 2023-07-01 優材科技有限公司 半導體反應裝置與反應方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102582241B1 (ko) * 2023-03-08 2023-09-25 (주)네오스테크놀로지스 커브드 lm 오토 레벨링 제어장치

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020142493A1 (en) * 2001-04-02 2002-10-03 Arvind Halliyal In-situ thickness measurement for use in semiconductor processing
US20050006556A1 (en) * 2003-07-10 2005-01-13 Applied Materials, Inc. In situ substrate holder leveling method and apparatus
US20080236497A1 (en) * 2007-03-30 2008-10-02 Tokyo Electon Limited Method and system for improving deposition uniformity in a vapor deposition system
CN101911281A (zh) * 2008-01-21 2010-12-08 应用材料股份有限公司 用以在处理腔室内支撑、定位及旋转基板的设备与方法
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2875945B2 (ja) * 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
JP3164956B2 (ja) * 1993-01-28 2001-05-14 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でアモルファスシリコン薄膜を堆積する方法
US6419802B1 (en) 2001-03-16 2002-07-16 David Alan Baldwin System and method for controlling deposition thickness by synchronously varying a sputtering rate of a target with respect to a position of a rotating substrate
JP2004035971A (ja) * 2002-07-05 2004-02-05 Ulvac Japan Ltd 薄膜製造装置
US7354332B2 (en) * 2003-08-04 2008-04-08 Applied Materials, Inc. Technique for process-qualifying a semiconductor manufacturing tool using metrology data
JP4399206B2 (ja) * 2003-08-06 2010-01-13 株式会社アルバック 薄膜製造装置
US7216734B2 (en) 2003-09-25 2007-05-15 Kobelco Construction Machinery Co., Ltd. Construction machine
CN101454486B (zh) 2006-04-04 2013-03-13 索罗能源公司 用于卷绕处理光电薄膜的组分控制
US20090120584A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
US20110070370A1 (en) * 2008-05-28 2011-03-24 Aixtron Ag Thermal gradient enhanced chemical vapour deposition (tge-cvd)
US7851233B2 (en) 2009-03-26 2010-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. E-chuck for automated clamped force adjustment and calibration
US9312154B2 (en) 2009-04-21 2016-04-12 Applied Materials, Inc. CVD apparatus for improved film thickness non-uniformity and particle performance
US9869021B2 (en) * 2010-05-25 2018-01-16 Aventa Technologies, Inc. Showerhead apparatus for a linear batch chemical vapor deposition system
US9941100B2 (en) 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US10533251B2 (en) * 2015-12-31 2020-01-14 Lam Research Corporation Actuator to dynamically adjust showerhead tilt in a semiconductor processing apparatus

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020142493A1 (en) * 2001-04-02 2002-10-03 Arvind Halliyal In-situ thickness measurement for use in semiconductor processing
US20050006556A1 (en) * 2003-07-10 2005-01-13 Applied Materials, Inc. In situ substrate holder leveling method and apparatus
US20080236497A1 (en) * 2007-03-30 2008-10-02 Tokyo Electon Limited Method and system for improving deposition uniformity in a vapor deposition system
CN101911281A (zh) * 2008-01-21 2010-12-08 应用材料股份有限公司 用以在处理腔室内支撑、定位及旋转基板的设备与方法
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112410764A (zh) * 2019-08-23 2021-02-26 长鑫存储技术有限公司 气相沉积装置、调整方法、装置、***、介质和电子设备
CN110842781A (zh) * 2019-11-26 2020-02-28 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 一种非接触式测量方法及装置
CN115874167A (zh) * 2023-02-22 2023-03-31 江苏邑文微电子科技有限公司 多工序pecvd设备的喷淋组件自动调平方法和装置

Also Published As

Publication number Publication date
KR20180127536A (ko) 2018-11-28
US20170309528A1 (en) 2017-10-26
KR102164599B1 (ko) 2020-10-12
US10438860B2 (en) 2019-10-08
TW201740489A (zh) 2017-11-16
KR20200053660A (ko) 2020-05-18
WO2017184293A1 (en) 2017-10-26
CN109075025B (zh) 2023-06-02
TWI677042B (zh) 2019-11-11

Similar Documents

Publication Publication Date Title
CN109075025A (zh) 在化学气相沉积工艺期间的动态晶片校平、倾斜、旋转
US8772682B2 (en) Methods and apparatus for controlling temperature of a multi-zone heater in a process chamber
JP6789932B2 (ja) 調整可能ガスフロー制御のためのガス分離器を含むガス供給配送配置
TWI686506B (zh) 被帶走的蒸汽之測量系統及方法
TWI761337B (zh) 基板處理系統
US8162298B2 (en) Method for vaporizing liquid material capable of vaporizing liquid material at low temperature and vaporizer using the same
US20060005856A1 (en) Reduction of reactive gas attack on substrate heater
CN101194040A (zh) 旋转基材支撑件及其使用方法
TW201105815A (en) CVD apparatus for improved film thickness non-uniformity and particle performance
TW201920751A (zh) 紊流渦旋多區前驅物氣化器
TW201932640A (zh) 半導體裝置製造設備與製造方法
JP2022534893A (ja) 裏側ポンピングを用いた熱処理チャンバのリッド
US20080197125A1 (en) Substrate heating method and apparatus
US20230223238A1 (en) Increasing plasma uniformity in a receptacle
WO2015183483A1 (en) Method and apparatus for improving gas flow in a substrate processing chamber
TW202034446A (zh) 用於增進熱均勻性的具有多層加熱器之陶瓷支座
US20130059260A1 (en) Wafer Heating and Temperature Control by Backside Fluid Injection
JP2023523945A (ja) 化学物質送達システム用のヒーター設計ソリューション
TW202334489A (zh) 半導體處理用閥歧管
JP2023532119A (ja) 間欠的なよどみ流

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant