CN108701576B - Method and apparatus for inspection - Google Patents

Method and apparatus for inspection Download PDF

Info

Publication number
CN108701576B
CN108701576B CN201680082561.8A CN201680082561A CN108701576B CN 108701576 B CN108701576 B CN 108701576B CN 201680082561 A CN201680082561 A CN 201680082561A CN 108701576 B CN108701576 B CN 108701576B
Authority
CN
China
Prior art keywords
electron beam
columns
column
beam columns
field
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201680082561.8A
Other languages
Chinese (zh)
Other versions
CN108701576A (en
Inventor
B·卡斯川普
J·C·H·缪尔肯斯
M·A·范登布林克
约瑟夫·帕卓斯·亨瑞克瑞·本叔普
E·P·斯马克曼
T·朱兹海妮娜
C·A·维索尔伦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN108701576A publication Critical patent/CN108701576A/en
Application granted granted Critical
Publication of CN108701576B publication Critical patent/CN108701576B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/261Details
    • H01J37/263Contrast, resolution or power of penetration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/023Means for mechanically adjusting components not otherwise provided for
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/147Arrangements for directing or deflecting the discharge along a desired path
    • H01J37/15External mechanical adjustment of electron or ion optical components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/22Optical or photographic arrangements associated with the tube
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/244Detectors; Associated components or circuits therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/024Moving components not otherwise provided for
    • H01J2237/0245Moving whole optical system relatively to object
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2817Pattern inspection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

The invention discloses an electron beam inspection apparatus, which includes: a plurality of electron beam columns (600), each configured to provide an electron beam and to detect scattered electrons or secondary electrons from the object; and an actuator system (600, 610) configured to move one or more of the electron beam columns relative to another one or more of the electron beam columns (640, 630). The actuator system may include a plurality of first movable structures at least partially overlapping a plurality of second movable structures, the first and second movable structures supporting the plurality of electron beam columns.

Description

Method and apparatus for inspection
Cross Reference to Related Applications
This application claims priority from european application 15202676.1 filed on 24/12/2015 and european application 16166550.0 filed on 22/4/2016 and is incorporated by reference in its entirety.
Technical Field
The present description relates to a method and apparatus for inspection.
Background
A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. Lithographic apparatus can be used, for example, in the manufacture of Integrated Circuits (ICs). In such cases, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC. The pattern can be transferred onto a target portion (e.g., comprising part of, one, or more dies) on a substrate (e.g., a silicon wafer). Transfer of the pattern is typically accomplished by imaging onto a layer of radiation-sensitive material (resist) disposed on the substrate. Typically, a single substrate will comprise a network of adjacent target portions that are successively patterned. The known lithographic apparatus comprises: so-called steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion at one time; and so-called scanners, in which each target portion is irradiated by scanning the pattern through a radiation beam in a given direction (the "scanning" -direction) while synchronously scanning the substrate parallel or anti-parallel to this direction. The pattern may also be transferred from the patterning device to the substrate by imprinting the pattern onto the substrate.
Disclosure of Invention
Manufacturing a device, such as a semiconductor device, typically involves processing a substrate (e.g., a semiconductor wafer) using multiple manufacturing processes to form various features and multiple layers of the device. These layers and features are typically fabricated and processed using, for example, deposition, photolithography, etching, chemical mechanical polishing, and ion implantation. Multiple devices may be fabricated on multiple dies on a substrate, and then separated into individual devices. The device manufacturing process may be considered a patterning process. The patterning process involves a patterning step, such as optical and/or nanoimprint lithography using a lithographic apparatus to provide a pattern on the substrate, and typically (but optionally) involves one or more associated pattern processing steps, such as resist development by a developing apparatus, baking the substrate using a baking tool, etching using an etching apparatus and using the pattern, and so forth. Furthermore, one or more metrology processes are typically involved in the patterning process.
Metrology processes are used at various stages of the patterning process to set up, monitor and/or control the process. For example, the metrology process is used to measure: one or more characteristics of the substrate, such as relative locations (e.g., registration, overlay, alignment, etc.) or dimensions (e.g., line width, Critical Dimension (CD), thickness, etc.) of features formed on the substrate during the patterning process; and/or one or more characteristics of the patterning device (e.g., reticle), such as relative position (e.g., registration error) or dimension (e.g., line width, Critical Dimension (CD), etc.). Using the one or more characteristics, settings, performance, etc. of the patterning process may be determined. If the one or more characteristics are unacceptable (e.g., outside of a predetermined range of characteristics), the measurement of the one or more characteristics may be used to change one or more parameters of the patterning process such that the substrate manufactured by the patterning process has acceptable characteristics.
Over the decades, as photolithography and other patterning process technologies advance, the size of functional elements has continued to shrink, while the amount of functional elements (such as transistors) per device has steadily increased. At the same time, the accuracy requirements in terms of overlay, Critical Dimension (CD), etc. have become increasingly stringent. Errors such as overlay errors, CD errors, registration errors, etc., will inevitably occur as part of the overall patterning process. For example, imaging errors may result from optical aberrations, patterning device heating, patterning device errors, and/or substrate heating, and may be characterized in terms of, for example, overlay errors, CD errors, and so forth. Additionally or alternatively, errors may be introduced in other parts of the patterning process (such as in the patterning device) by an etching process, by a developing process, by a baking process, etc., and similarly, errors may be characterized in terms of parameters such as registration errors, overlay errors, CD errors, etc. The error may directly cause a problem in the function of the device, including a failure of the device to operate, or one or more electrical problems with operating the device.
As mentioned above, in patterning processes, it is desirable to frequently measure structures generated, for example, for process control and verification or corresponding process control and verification. One or more parameters of the structure, such as the critical dimensions of the structure, overlay errors between successive layers formed in or on the substrate, etc., are typically measured or determined. There are various techniques for measuring the microstructures used or formed during the patterning process. Various tools are known for making these measurements, including but not limited to Scanning Electron Microscopes (SEMs), which are often used to measure Critical Dimensions (CDs). SEMs have high resolution and are capable of resolving features on the order of 30 nanometers or less, 20 nanometers or less, 10 nanometers or less, or 5 nanometers or less. SEM images of semiconductor devices are often used in semiconductor manufacturing to observe what is happening at the horizontal position of the device.
The information contained in the SEM image of the structure may be used for process modeling, existing model calibration (including recalibration), defect detection, estimation, characterization or classification, yield estimation, process control or monitoring, and the like. These SEM images may be processed to extract contours describing the edges of the object, which contours represent device structures in the image (whether on the patterning device or formed on the substrate). These contours are then quantified at the user-defined cut-lines via a metric, such as a CD. Therefore, images of device structures are typically compared and quantified via a metric such as the distance between edges (i.e., edge-to-edge) measured on the extracted Contours (CD) or a simple pixel difference between the images.
In an embodiment, there is provided an electron beam inspection apparatus to inspect an object comprising a plurality of dies or fields, the apparatus comprising: a plurality of electron beam columns, each configured to provide an electron beam and to detect scattered or secondary electrons from the object, each electron beam column arranged to inspect a different respective field or die associated with the electron beam column; and a non-transitory computer program product comprising machine readable instructions, at least some of the instructions configured to cause relative movement between the object and the electron beam column such that each of the electron beams inspects an area of its respective field or die that is less than an entire area of the respective field or die.
In an embodiment, there is provided an electron beam inspection apparatus including: a plurality of electron beam columns, each configured to provide an electron beam and to detect scattered or secondary electrons from an object, each electron beam column arranged to inspect a different respective field or area of a die associated with the electron beam column; and an actuator system configured to move one or more of the electron beam columns relative to another one or more of the electron beam columns.
In an embodiment, there is provided a method of electron beam inspection of an object comprising a plurality of dies or fields, the method comprising: having a plurality of electron beam columns, each configured to provide an electron beam and to detect scattered or secondary electrons from the object, and each arranged to inspect a different respective field or die associated with the electron beam column; causing relative movement between the object and the plurality of electron beam columns such that each of the electron beams inspects an area of its respective field or die that is less than an entire area of the respective field or die; providing said electron beam from said electron beam column onto the object; and detecting scattered electrons or secondary electrons from the object using the electron beam column.
In one embodiment, a method of electron beam inspection is provided, the method comprising: having a plurality of electron beam columns, each configured to provide an electron beam and to detect scattered or secondary electrons from an object, and each arranged to inspect a different respective field or area of a die associated with the electron beam column; and moving one or more of the electron beam columns relative to another one or more of the electron beam columns by using an actuator system.
In one aspect, there is provided a method of manufacturing a device, wherein a device pattern is applied to a series of substrates using a patterning process, the method comprising: evaluating a patterned structure formed using the patterning process using the method described in the present disclosure; and controlling the patterning process for one or more of the substrates in accordance with the results of the method. In an embodiment, the patterning structure is formed on at least one of said substrates, and the method comprises controlling the patterning process for a subsequent substrate in dependence on the result of the method.
In an aspect, a non-transitory computer program product is provided comprising machine-readable instructions configured to cause a processor to implement the methods described in this disclosure.
In one aspect, an electron beam inspection system is provided. The system comprises: an electron beam inspection apparatus as described in the present invention; and an analysis engine comprising a non-transitory computer program product as described in the present disclosure. In an embodiment, the system further comprises a lithographic apparatus comprising: a support structure configured to hold a patterning device to modulate a radiation beam; and a projection optical system arranged to project the modulated radiation beam onto a radiation-sensitive substrate.
In an embodiment, there is provided an electron beam inspection apparatus including: a plurality of electron beam columns, each configured to provide an electron beam and to detect scattered electrons or secondary electrons from an object; and an actuator system configured to move one or more of the electron beam columns relative to another one or more of the electron beam columns, the actuator system comprising a plurality of first movable structures at least partially overlapping a plurality of second movable structures, the first and second movable structures supporting the plurality of electron beam columns.
In one embodiment, a method of electron beam inspection is provided, the method comprising: having a plurality of electron beam columns, each configured to provide an electron beam and to detect scattered electrons or secondary electrons from an object; moving one or more of the electron beam columns relative to another one or more of the electron beam columns by using an actuator system comprising a plurality of first movable structures at least partially overlapping a plurality of second movable structures, the first and second movable structures supporting the plurality of electron beam columns; providing said electron beam from said electron beam column onto the object; and detecting scattered electrons or secondary electrons from the object using the electron beam column.
In an embodiment, there is provided a patterning device repair apparatus including: a plurality of beam columns, each beam column configured to provide a beam of radiation, each beam column arranged to repair a different respective field or region of a die of a patterning device associated with the beam column using a respective beam of radiation; and an actuator system configured to move one or more of the beam columns relative to another one or more of the beam columns.
Drawings
Embodiments will now be described, by way of example only, with reference to the accompanying drawings, in which:
FIG. 1 schematically depicts an embodiment of a lithographic apparatus;
FIG. 2 schematically depicts an embodiment of a lithography unit or cluster;
FIG. 3 schematically depicts an embodiment of a Scanning Electron Microscope (SEM);
FIG. 4 schematically depicts an embodiment of multi-beam electron beam processing of an object;
FIG. 5 schematically depicts an embodiment of multi-column electron beam processing of an object;
FIG. 6 schematically depicts a top or bottom view of an embodiment of a multi-column electron beam apparatus;
FIG. 7 schematically depicts a perspective view of an embodiment of a multi-column electron beam apparatus;
FIG. 8 schematically depicts a side view of an embodiment of a column of a multi-column electron beam apparatus;
FIG. 9 schematically depicts a top or bottom view of an embodiment of a column of a multi-column electron beam apparatus;
FIG. 10 schematically depicts an embodiment of the adjustment of certain columns of a multi-column electron beam apparatus;
11A, 11B, and 11C schematically depict an embodiment of a method of processing an object to identify defects;
12A and 12B schematically depict an embodiment of a method of processing an object to identify defects;
FIG. 13 depicts an exemplary flow chart for modeling and/or simulating lithography in a lithographic projection apparatus;
FIG. 14 schematically depicts a top or bottom view of an embodiment of a multi-column electron beam apparatus;
FIG. 15 schematically depicts a side view of an embodiment of a column of a multi-column electron beam apparatus;
16A and 16B schematically depict a top or bottom view of an embodiment of a multi-column electron beam apparatus; and
fig. 17A and 17B schematically depict side views of an embodiment of a column of a multi-column electron beam apparatus.
Detailed Description
Before describing embodiments in detail, it is instructive to present an exemplary environment in which embodiments may be implemented.
FIG. 1 schematically depicts a lithographic apparatus LA. The apparatus comprises:
an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. DUV radiation or EUV radiation);
a support structure (e.g. a mask table) MT constructed to support a patterning device (e.g. a mask) MA and connected to a first positioner PM configured to accurately position the patterning device in accordance with certain parameters;
a substrate table (e.g. a wafer table) WTa constructed to hold a substrate (e.g. a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate in accordance with certain parameters; and
a projection system (e.g. a refractive projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.
The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.
The patterning device support structure holds the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The patterning device support structure may use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device. The patterning device support structure may be a frame or a table, for example, which may be fixed or movable as required. The patterning device support structure may ensure that the patterning device is at a desired position, for example with respect to the projection system. Any use of the terms "reticle" or "mask" herein may be considered synonymous with the more general term "patterning device".
The term "patterning device" as used herein should be broadly interpreted as referring to any device that: the apparatus can be used to impart the radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate (e.g. if the pattern includes phase-shifting features or so called assist features). Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion (e.g., an integrated circuit).
The patterning device may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, attenuated phase-shift, and various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam which is reflected by the mirror matrix.
The term "projection system" used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term "projection lens" herein may be considered as synonymous with the more general term "projection system".
As described herein, the apparatus may be of a transmissive type (e.g., employing a transmissive mask). Alternatively, the apparatus may be of a reflective type (e.g. employing a programmable mirror array of a type as referred to above, or employing a reflective mask).
The lithographic apparatus may be of a type having two (dual stage) or more tables (e.g., two or more substrate tables, two or more patterning device support structures, or a substrate table and a measurement table). In such "multiple stage" machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for pattern transfer.
The lithographic apparatus may also be of a type wherein: wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g. water, so as to fill a space between the projection system and the substrate. Immersion liquids may also be applied to other spaces in the lithographic apparatus, for example, between the mask and the projection system. It is known in the art that immersion techniques can be used to increase the numerical aperture of projection systems. The term "immersion" as used herein does not mean that a structure, such as a substrate, must be submerged in liquid, but rather "immersion" means that liquid is only located between the projection system and the substrate during exposure.
Referring to FIG. 1, the illuminator IL receives a radiation beam from a radiation source SO. The source and the lithographic apparatus may be separate entities, for example when the source is an excimer laser. In such cases, the source is not considered to form part of the lithographic apparatus and the radiation beam is passed from the source SO to the illuminator IL with the aid of a beam delivery system BD comprising, for example, suitable directing mirrors and/or a beam expander. In other cases the source may be an integral part of the lithographic apparatus (for example when the source is a mercury lamp). The source SO and the illuminator IL, together with the beam delivery system BD if required, may be referred to as a radiation system.
The illuminator IL may include an adjuster AD for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as σ -outer and σ -inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. IN addition, the illuminator IL may comprise various other components, such as an integrator IN and a condenser CO. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross-section.
The radiation beam B is incident on the patterning device (e.g., mask MA), which is held on the patterning device support (e.g., mask table MT), and is patterned by the patterning device. Having traversed the patterning device (e.g. mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor IF (e.g. an interferometric device, linear encoder, 2-D encoder or capacitive sensor), the substrate table WTa can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor (which is not explicitly depicted in fig. 1) can be used to accurately position the patterning device (e.g. mask) MA with respect to the path of the radiation beam B, e.g. after mechanical retrieval from a mask library, or during a scan. In general, movement of the patterning device support (e.g. mask table) MT may be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the first positioner PM. Similarly, movement of the substrate table WTa may be realized using a long-stroke module and a short-stroke module, which form part of the second positioner PW. In the case of a stepper (as opposed to a scanner) the patterning device support (e.g., mask table) MT may be connected to a short-stroke actuator only, or may be fixed.
Patterning device (e.g. mask) MA and substrate W may be aligned using mask alignment marks M1, M2 and substrate alignment marks P1, P2. Although the substrate alignment marks (as shown) occupy dedicated target portions, they may be located in spaces between target portions (these marks are known as scribe-lane alignment marks). Similarly, in situations in which more than one die is provided on the patterning device (e.g. mask) MA, the mask alignment marks may be located between the dies. Small alignment marks may also be included in the die among device features, in which case the marks need to be made as small as possible and without any different patterning or other process conditions compared to neighboring features. Embodiments of an alignment system to detect alignment marks are described further below.
The depicted apparatus can be used in at least one of the following modes:
in step mode, the patterning device support (e.g. mask table) MT and the substrate table WTa are kept substantially stationary while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure). The substrate table WTa is then shifted in the X and/or Y direction so that a different target portion C can be exposed. In step mode, the maximum size of the exposure field limits the size of the target portion C imaged in a single static exposure.
In scan mode, the patterning device support (e.g. mask table) MT and the substrate table WTa are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure). The velocity and direction of substrate table WTa relative to patterning device support (e.g., mask table) MT may be determined by the magnification (de-magnification) and image reversal characteristics of projection system PS. In scan mode, the maximum size of the exposure field limits the width (in the non-scanning direction) of the target portion in a single dynamic exposure, while the length of the scanning motion determines the height (in the scanning direction) of the target portion.
In another mode, the patterning device support (e.g. mask table) MT is kept essentially stationary, while a pattern imparted to the radiation beam is projected onto a target portion C, so as to hold the programmable patterning device, and the substrate table WTa is moved or scanned. In this mode, a pulsed radiation source is typically employed, and the programmable patterning device is updated as required after each movement of the substrate table WTa or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above, or the like.
Combinations and/or variations on the above described modes of use or entirely different modes of use may also be employed.
The lithographic apparatus LA is of the so-called dual stage type having two tables WTa, WTb (e.g. two substrate tables) and two stations, an exposure station and a measurement station, between which the tables can be exchanged. For example, while a substrate on one table is exposed at an exposure station, another substrate may be loaded onto another substrate table at a measurement station and various preparatory steps performed. Preparatory steps may include mapping the surface controls of the substrate using the level sensor LS and measuring the position of alignment marks on the substrate using the alignment sensor AS, both sensors being supported by the reference frame RF. IF the position sensor IF is not capable of measuring the position of the stage while the stage is in the measurement station and while in the exposure station, a second position sensor may be provided to enable tracking of the position of the stage at both stations. As another example, while a substrate on one stage is being exposed at the exposure station, another stage without a substrate, etc., is at the measurement station (where measurement activities optionally can be performed). The other station has one or more measuring devices and optionally may have other tools (e.g., cleaning equipment). When the substrate has completed exposure, the stage without the substrate is moved to an exposure station to perform, for example, measurement, and the stage with the substrate is moved to a position where the substrate is unloaded and another substrate is loaded (e.g., a measurement station). These multiple station arrangements result in a significant increase in the throughput of the apparatus.
As shown in FIG. 2, lithographic apparatus LA may form part of a lithographic cell LC, sometimes referred to as a lithographic cell or cluster, which may also include apparatus for performing one or more pre-pattern-transfer and post-pattern-transfer processes on a substrate. Conventionally, these devices comprise: one or more spin coaters SC for depositing a resist layer, one or more developers DE for developing the patterned resist, one or more chill plates CH, and one or more bake plates BK. The substrate handler or robot RO picks up the substrate from the input/output ports I/O1, I/O2, moves the substrate between different processing apparatuses, and then transfers the substrate to a load table LB of the lithographic apparatus. These devices are generally referred to collectively as tracks and are controlled by a track control unit TCU which itself is controlled by a supervisory control system SCS which also controls the lithographic apparatus via the lithographic control unit LACU. Thus, different equipment may be operated to maximize throughput and processing efficiency.
Furthermore, it is often desirable to model the patterning process, for example, so that the patterning process can be designed, controlled, monitored, and the like. Thus, one or more mathematical models describing one or more steps of the patterning process (typically including a pattern transfer step) may be provided. In an embodiment, a simulation of the patterning process may be performed using one or more mathematical models to simulate how the patterning process forms the patterned substrate using measured or designed patterns provided by the patterning device. An exemplary flow chart for modeling and/or simulating lithography in a lithographic projection apparatus is illustrated in fig. 13. As will be appreciated, the models may represent different patterning processes and need not include all of the models described below. The source model 1300 represents the optical characteristics of the illumination of the patterning device (including the radiation intensity distribution, bandwidth, and/or phase distribution). The source model 1300 may represent optical characteristics of the illumination including, but not limited to, numerical aperture settings, illumination mean square deviation (σ) settings, and any particular illumination shape (e.g., off-axis radiation shape such as ring, quadrupole, dipole, etc.). Projection optics model 1310 represents the optical characteristics of the projection optics (including the changes to the radiation intensity distribution and/or phase distribution caused by the projection optics). The projection optics model 1310 may represent optical characteristics of the projection optics, including aberrations, distortion, one or more indices of refraction, one or more physical sizes, one or more physical dimensions, and so forth. The design layout model 1320 represents the optical characteristics of a design layout (including changes to the radiation intensity distribution and/or phase distribution caused by a given design layout), which is a representation of the arrangement of features formed on or by a patterning device. The design layout model 1320 may represent one or more physical attributes of a solid patterning device, as described, for example, in U.S. Pat. No. 7,587,704, which is incorporated by reference in its entirety. Since the patterning device used in a lithographic projection apparatus can be changed, it is desirable to separate the optical properties of the patterning device from those of the rest of the lithographic projection apparatus, including at least the illumination and projection optics.
Aerial image 1330 may be simulated according to source model 1300, projection optics model 1310, and design layout model 1320. The Aerial Image (AI) is the radiation intensity distribution at the substrate level. Optical properties of the lithographic projection apparatus (e.g., properties of the illumination, patterning device, and projection optics) dictate the aerial image.
A resist layer on a substrate is exposed by an aerial image, and the aerial image is transferred to the resist layer as a latent "resist image" (RI) therein. The Resist Image (RI) can be defined as the spatial distribution of the solubility of the resist in the resist layer. A resist model 1340 may be used to simulate a resist image 1350 from aerial image 1330. A resist image may be calculated from the aerial image using a resist model, an example of which may be found in U.S. patent application publication No. US2009-0157360, the entire disclosure of which is incorporated herein by reference. Resist models are typically only related to the properties of the resist layer (e.g., the effects of chemical processes that occur during exposure, post-exposure baking, and development).
The simulation of lithography may, for example, predict contours and/or CDs in the resist image. The purpose of the simulation is therefore to accurately predict, for example, edge placement of the printed pattern, and/or aerial image intensity slope, and/or CD, etc. These values may be compared to an expected design, for example, to correct the patterning process, to identify locations where defects are predicted to occur, and so forth. The desired design is typically defined as a pre-OPC design layout that may be provided in a standardized data file format, such as GDSII or OASIS, or other file format.
According to this design layout, one or more parts called "clips" may be identified. In an embodiment, a set of fragments is extracted that represents a complex pattern in a design layout (e.g., about 500 to 800,000 fragments, although any number of fragments may be used). These patterns or segments represent small portions of the design (i.e., circuits, cells, or patterns), and more particularly, the segments generally represent small portions that require specific attention and/or verification. In other words, a segment may be part of a design layout, or may be similar, or have similar behavior of the part of the design layout, with one or more critical features identified through experience (including segments provided by customers), through trial and error, or through execution of a full wafer simulation. A fragment may comprise one or more test patterns or gauge patterns. Those segments that are predicted to have defects when printed are referred to as hot spots.
For example, an initial larger set of segments may be provided a priori by the customer based on one or more known critical feature regions of the design layout that require particular attention. Alternatively, in another embodiment, the initial larger set of segments may be extracted from the entire design layout by using some automatic (such as machine vision) or manual algorithm that identifies the one or more critical feature regions.
Furthermore, in order to properly and consistently process a substrate processed (e.g., exposed) by a lithographic apparatus, the processed substrate needs to be inspected to measure one or more properties, such as overlay error between subsequent layers, line thickness, Critical Dimension (CD), and the like. If an error is detected, adjustments may be made to the processing of one or more subsequent substrates. This is particularly useful, for example, where inspection can be performed very quickly and quickly enough so that another substrate of the same batch is still pending. Further, the processed substrate may be stripped, reworked (to improve yield), or discarded, thereby avoiding pattern transfer to known defective substrates. In the event that only some target portions of the substrate are defective, further pattern transfer may be performed only on those target portions that are good. Another possibility is to adapt the settings of the subsequent process steps to compensate for errors, e.g. the time of the trim etch step may be adjusted to compensate for the substrate-to-substrate CD variations caused by the lithographic process steps.
In a similar manner, a patterning device (e.g., a reticle) may be inspected to determine if there are any errors in the pattern on the patterning device. Such an inspection may determine registration errors (e.g., placement differences between a portion of the pattern as "written" on the patterning device as compared to the designed placement) and/or dimensions (e.g., feature widths, feature lengths, etc.) of features of the pattern on the patterning device.
The inspection apparatus is for determining one or more properties of a substrate, and in particular how one or more properties of different substrates or different layers of the same substrate vary between different layers and/or across a substrate and/or across different substrates (e.g., between different substrates). The inspection apparatus may be integrated in the lithographic apparatus LA or the lithographic cell LC or may be a stand-alone device. To achieve the fastest measurements, it is desirable for the inspection apparatus to measure one or more properties in the patterned resist layer immediately after pattern transfer. However, latent patterns in resists can have very low contrast-for example, there is only a very small refractive index difference between portions of the resist that have been exposed to radiation and portions of the resist that have not been exposed to radiation-and not all inspection apparatus are sufficiently sensitive to make useful measurements of the latent pattern. Thus, the measurements can be performed after a post-exposure bake step (PEB), which is typically the first step performed on the patterned substrate and increases the contrast between, for example, the exposed and unexposed parts of the resist. At this stage, the pattern in the resist may be referred to as a semi-latent image (semi-latent). Measurements may also be taken of the developed resist image-when, for example, either the exposed or unexposed portions of the resist have been removed-or measurements of the developed resist image may be taken after a pattern transfer step such as etching. The latter possibility limits the possibility of rework of defective substrates, but may still provide useful information, for example for process control purposes.
The inspection apparatus used to determine one or more properties of an object, such as a semiconductor substrate, a patterning device, etc., may take a variety of different forms. For example, the inspection apparatus may use photonic electromagnetic radiation to illuminate the object and detect radiation redirected by the object; these inspection devices may be referred to as bright field inspection devices. The bright-field inspection apparatus may use radiation having a wavelength in the range of, for example, 150 nanometers to 900 nanometers. The inspection apparatus may be image-based (i.e. take an image of the object), and/or diffraction-based (i.e. measure the intensity of diffracted radiation). The inspection apparatus may inspect product features (e.g., features of an integrated circuit or features of a mask that will be formed using the substrate) and/or inspect specific measurement targets (e.g., overlay targets, focus/dose targets, CD gauge patterns, etc.).
Inspection of, for example, semiconductor wafers (bright field inspection) is mostly done using optical-based sub-resolution tools. However, in some cases, some of the features to be measured are too small to be measured effectively using bright field inspection. For example, bright field inspection of defects in features of semiconductor devices can be challenging. Furthermore, over time, features made using a patterning process (e.g., semiconductor features made using photolithography) become smaller and, in many cases, the density of the features also gradually increases. Thus, future semiconductor nodes challenge scalability of current optical inspections for measuring small defects (e.g., pattern shape defects, electrical defects, etc.), and smaller and/or denser features of those nodes will be measured due to resolution limitations of bright-field inspections. Furthermore, bright-field inspection may have a relatively low capture rate and/or a progressively increasing damage rate (for a given capture rate), which may result in increased time and cost spent using bright-field inspection.
Thus, higher resolution inspection techniques are used and are required. An exemplary inspection technique is electron beam inspection. Electron beam inspection involves focusing an electron beam on a small spot on the object to be inspected. The image is formed by: providing relative movement between the beam and the object over an area of the object under inspection (hereinafter referred to as scanning the electron beam); and collecting the secondary and/or backscattered electrons using an electron detector. The image data is then processed, for example, to identify defects.
Thus, in an embodiment, the inspection apparatus may be an electron beam inspection apparatus (e.g., the same as or similar to a Scanning Electron Microscope (SEM)) that produces an image of structures on an object (e.g., some or all of the structures of a device such as an integrated circuit). Fig. 3 depicts an embodiment of an electron beam inspection apparatus 200. The primary electron beam 202 emitted from the electron source 201 is condensed by the condenser lens 203, and then passes through the beam deflector 204, the ExB deflector 205, and the objective lens 206, thereby irradiating the object 100 at the focal point on the stage 101.
When the object 100 is irradiated with the electron beam 202, secondary electrons are generated from the object 100. The secondary electrons are deflected, for example, by an ExB deflector 205 and detected by a secondary electron detector 207. The two-dimensional electron beam image may be obtained by: the electrons generated from the sample are detected in synchronization with, for example, two-dimensional scanning of the electron beam by the beam deflector 204, or in synchronization with repeated scanning of the electron beam 202 in the X or Y direction by the beam deflector 204, together with continuous movement of the object 100 in the other of the X or Y directions by the stage 101. Thus, in an embodiment, the electron beam inspection apparatus has a field of view for the electron beam defined by an angular range in which the electron beam may be set by the electron beam inspection apparatus (e.g., the angular range through which the electron beam 202 may be set by the deflector 204). Thus, the spatial extent of the field of view is the spatial extent to which the angular extent of the electron beam can impinge on a surface (where the surface may be stationary or movable relative to the field).
The signal detected by the secondary electron detector 207 is converted into a digital signal by an analog/digital (a/D) converter 208, and the digital signal is sent to the image processing system 300. In one embodiment, the image processing system 300 may have a memory 303 to store all or part of the digital image for processing by a processing unit 304. The processing unit 304 (e.g., specially designed hardware or a combination of hardware and software) is configured to convert or process a digital image into a dataset representing the digital image. Further, the image processing system 300 may have a storage medium 301 configured to store digital images and corresponding data sets in a reference database. A display device 302 may be connected to the image processing system 300 so that an operator may perform the necessary operations on the apparatus by means of a graphical user interface.
The apparatus depicted in FIG. 3 is an example of a single electron beam column inspection system; having a single electron beam column that generates, controls and detects a single electron beam. However, a single electron beam column inspection system can take a long time to inspect an object, such as a standard 300 mm wafer. This is further exacerbated by the need to measure smaller defects and/or features, which require smaller beam sizes. Using smaller beam or pixel sizes to detect smaller defects and/or features can result in loss of noise and throughput (e.g., due to reduced electron flow to limit any damage to the object). The increased electron flow can increase throughput but has a negative impact on resolution.
Therefore, a single electron beam column inspection system may be significantly constrained in terms of throughput (e.g., inspection area per unit time), and is currently too slow for high volume manufacturing. For example, there may be about 3 to 4 orders of magnitude throughput gaps between a single electron beam column inspection system and bright field detection. Therefore, there is a need to provide high resolution inspection with high throughput. In one embodiment, electron beam-based inspection is provided with throughput comparable to bright field-based inspection.
In an embodiment, throughput may be increased by providing multiple electron beams from a particular electron beam column (hereinafter referred to as multi-beam column). Thus, the field of view of the electron beam inspection apparatus may be expanded by adding a plurality of beams side by side in an array/matrix fashion to produce an effective field of view that is a multiple of the individual field of view of the individual beams. For example, the beams may be provided with a 10 micron pitch forming, for example, a 10x10 beam matrix and a combined field of view of 100x100 microns (each beam having a field of view of 10x10 microns at the object). The beam array can then scan the object 100 times faster than a single beam with a field of view of 10x10 microns. However, even this gain may not be sufficient.
Referring to fig. 4, an example of an implementation of multiple beams of a multi-beam-column electron beam inspection system in the context of an object 100 (e.g., a semiconductor wafer, a reticle, etc.) is shown. In this case, the object 100 includes a plurality of fields or dies 120, the plurality of fields or dies 120 being identified by their respective boundaries 125 (the boundaries 125 may not be physically present on the object, but rather "virtual" boundaries). In an embodiment, the die corresponds to a portion of an object that becomes a single device. That is, in the case where the object is a semiconductor wafer, the object is cut into parts corresponding to dies, each of which becomes, for example, a semiconductor device. In an embodiment, the field corresponds to a size of an exposure field of a lithographic apparatus used to pattern the substrate. For example, where the patterning device provides a pattern comprising a plurality of dies, the field may comprise a plurality of dies. The object in fig. 4 is highly schematic and will typically have many more dies/fields than shown. Although a circular object is depicted, it may be of different shapes. The width (e.g., diameter) of the objects may vary. For example, the width may be 300 nanometers or 450 nanometers. In an embodiment, an object will have about 30 or more dies/fields, about 40 or more dies/fields, about 50 or more dies/fields, about 60 or more dies/fields, about 70 or more dies/fields, about 80 or more dies/fields, about 90 or more dies/fields, about 100 or more dies/fields, about 110 or more dies/fields, about 120 or more dies/fields, about 130 or more dies/fields, about 140 or more dies/fields, about 150 or more dies/fields, about 160 or more dies/fields, about 170 or more dies/fields, about 180 or more dies/fields, about 190 or more dies/fields, about 200 or more dies/fields, about 220 or more dies/fields, about 100 or more dies/fields, About 240 or more dies/fields, or about 260 or more dies/fields.
As shown in fig. 4, the plurality of beams present a combined field of view 400, wherein the beams examine the object 100. In this example, there are four beams, each having its own field of view in the combined field of view and the respective fields of view need not be equal. In this case, each beam has an equal field of view in the combined field of view 400, represented by the four segments in fig. 4. Thus, in an embodiment, relative movement between the combined field of view 400 and the object 100 is provided so that the beam can inspect different portions of the object (e.g., the field/die 120 or a portion thereof) including the defect 410. In an embodiment, if each of the beams has a width corresponding to its respective field of view in the combined field of view 400, the beams may be in a substantially fixed orientation and the object is moved relative to the beams to inspect the object. Furthermore, in an embodiment, there is relative movement between the electron beam and the object to provide scanning of the beams with their respective fields of view within the combined field of view 400. In one embodiment, the object is in a substantially fixed orientation and the beams are moved (e.g., tilted) relative to the object such that the beams scan within their respective fields of view. In an embodiment, there may be a combined movement of the beams and the object to cause a scan of the beams with their respective fields of view. Thus, in an embodiment, by combining relative movement between the field of view 400 and the object, multiple beams may be provided to different portions of the object, such as multiple fields/dies 120 or portions thereof, and once at a portion of the object, relative movement between the electron beam and the object is provided to provide scanning of the beams with their respective fields of the combined field of view 400 to image the object (including the defect 410).
The combined field of view 400 and the individual field of view portions of the combined field of view 400 corresponding to the individual beams may have different shapes than as shown in fig. 4. As mentioned above, in an embodiment, the boundary of each beam spot is coextensive with its respective portion of the field of view 400. In an embodiment, the beam spot is smaller than the field of view portion of the beam, and thus relative motion is effectively provided between the beam and its respective portion of the field of view 400, such that the beam can inspect the area corresponding to its field of view portion. In this embodiment, the beam may be moved (e.g., tilted) so as to provide relative movement between the beam and its respective portion of the field of view 400, and the object may be moved so as to provide relative movement between the field of view 400 and the object. Different combinations of movement may be provided as desired.
In an embodiment, throughput and/or the ability to measure smaller features may be improved by providing multiple electron beam columns, each of which provides at least a single electron beam (hereinafter referred to as a multi-column system). That is, each column provides at least a single electron beam (in one embodiment, one or more of the plurality of electron beam columns is a multi-beam column) and has a detector to measure secondary and/or backscattered electrons caused by the column's electron beam incident on the object. In an embodiment, each of the electron beam columns or a plurality of electron beams selected from the electron beam columns inspects the object in parallel with one or more other electron beam columns. Thus, in an embodiment, each beam may have a relatively small flow for better resolution, but the multiple electron beam columns collectively provide a relatively high total flow to achieve faster inspection. Furthermore, by using multiple electron beam columns to acquire images in parallel, a significant increase in throughput can be achieved compared to a single electron beam column.
Referring to fig. 5, a highly schematic example of the implementation of multiple beams of an embodiment of a multi-column electron beam inspection system in the context of an object 100 is shown. In this case, the object 100 includes a plurality of fields or dies 120, the plurality of fields or dies 120 being identified by their respective boundaries 125 (the boundaries 125 may not be physically present on the object, but may be "virtual" boundaries). In one embodiment, each of the fields or dies has an electron beam column assigned to it. In one embodiment, each of a plurality of groups has an electron beam column assigned to it, each group including a plurality of fields or dies. In one embodiment, the plurality of electron beam columns are arranged in a one-dimensional array, desirably with sufficient electron beam columns to extend across the widest portion of the object. In one embodiment, the one-dimensional array is actually scanned across the object in a direction orthogonal to the elongate direction of the array by relative movement between the array and the object. In an embodiment, the electron beam columns are arranged in a two-dimensional array. In an embodiment, the two-dimensional array extends in a first direction across a width/length of the object and in a second direction orthogonal to the first direction across the width/length of the object. In one embodiment, the two-dimensional array is a rectangular array. In an embodiment, the two-dimensional array has a shape that matches the shape of the object. Thus, for a circular object, the array may be a rectangular array (with array elements removed at the corners to produce a substantially circular array) or may be diamond shaped.
In an embodiment, an object will have about 30 or more electron beam columns assigned to the object, about 40 or more electron beam columns assigned to the object, about 50 or more electron beam columns assigned to the object, about 60 or more electron beam columns assigned to the object, about 70 or more electron beam columns assigned to the object, about 80 or more electron beam columns assigned to the object, about 90 or more electron beam columns assigned to the object, about 100 or more electron beam columns assigned to the object, about 110 or more electron beam columns assigned to the object, about 120 or more electron beam columns assigned to the object, about 130 or more electron beam columns assigned to the object, about 140 or more electron beam columns assigned to the object, about 150 or more electron beam columns assigned to the object, about 160 or more electron beam columns assigned to the object, About 170 or more electron beam columns assigned to the object, about 180 or more electron beam columns assigned to the object, about 190 or more electron beam columns assigned to the object, about 200 or more electron beam columns assigned to the object, about 220 or more electron beam columns assigned to the object, about 240 or more electron beam columns assigned to the object, or about 260 or more electron beam columns assigned to the object. The object in fig. 5 is highly schematic and will typically have many more dies/fields than shown. Although a circular object is depicted, it may be of different shapes. The width (e.g., diameter) of the object may vary.
As shown in fig. 5, each of the plurality of beams has a respective field of view 500 in which the beam inspects the object 100. In this example, there are five beams, each having its own field of view 500. Thus, in an embodiment, relative movement between the beam and the object (which in effect causes relative movement between the field of view 500 and the object 100) is provided so that the beam inspects different portions of the object (including the defect 410). In an embodiment, each of the fields of view 500 is actually dedicated to a respective field or die. That is, in an embodiment, only a single field of view 500 of the plurality of fields of view 500 is used to inspect a majority of the dies or fields. In an embodiment, each field of view 500 for a die or field inspects less than a majority, including none, of the neighboring dies or fields. In an embodiment, the field of view 500 does not inspect dies or fields other than the die or field with which the field of view 500 is associated.
Thus, in an embodiment, relative movement between the field of view 500 and the object 100 is provided such that each field of view 500 inspects a different portion of its associated die or field. In an embodiment, the field of view 500 is in a substantially fixed orientation and the object is moved relative to the field of view 500 to position the field of view 500 at its respective portion of its respective field or die.
Once each of the fields of view 500 is at its respective portion of its respective die or field, each of the respective beams then inspects its respective portion of its die or field in parallel with the other beams. In an embodiment, where the field of view 500 corresponds to the size of an electron beam spot, the beam is in a substantially fixed orientation and the object is moved relative to the beam so that the beam is scanned in the respective field or die. More generally, in an embodiment, the object is in a substantially fixed orientation, and each beam is moved (e.g., tilted) relative to the object such that the beams scan within their respective fields of view in the respective field or die. In an embodiment, there may be a combined movement of the beam and the object to cause a scanning of the beam.
Thus, in an embodiment, each of the beams (which operate in the field of view 500) is stepped to a different portion of its respective field/die 120, respectively, by relative movement between the field of view 500 and the object 100, to image those different portions (typically by scanning of the respective beam through movement of the beam and/or movement of the object), which include actual or suspected defects 410. Furthermore, to achieve throughput improvement, portions of different fields/dies 120 are inspected in parallel by the beams in their respective fields of view 500, i.e., multiple beams are projected at the object simultaneously such that each beam inspects its respective field or die.
In an embodiment, the fields of view 500 corresponding to the various beams may have different shapes than as shown in FIG. 4. In one embodiment, the boundaries of each beam spot are coextensive with its field of view 500. In an embodiment, the beam spot is smaller than the field of view of the beam, and thus actually provides relative motion between the beam and its field of view 500, such that the beam can inspect the region corresponding to the field of view 500. In this embodiment, the beam may be moved (e.g., tilted) so as to provide relative movement between the beam and its field of view, and the object may be moved so as to provide relative movement between the field of view 500 and the object. Different combinations of movement may be provided as desired.
Fig. 6 is a highly schematic representation of an embodiment of a multi-column electron beam inspection system comprising an array of electron beam columns 600, each electron beam column corresponding to at least one die or field on the object 100. Thus, in an embodiment, a plurality of small electron beam columns are arranged in an array (e.g., a substantially horizontal array) -in this case a two-dimensional array. For example, 30, 40, 50, 60, 70, 80, 90, 100, 110, 120, 130, 140, 150, or 160 or more optical columns may be disposed in a two-dimensional array. In an embodiment, the number of optical columns corresponds at least to the number of fields or dies on the object 100. The array of electron beam columns enables cross-object inspection in parallel, i.e. at least one of the electron beam columns inspects a part of the object while at least another one of the electron beam columns inspects another part of the object.
Each electron beam column is small (e.g., 200 square millimeters or less, 170 square millimeters or less, 150 square millimeters or less, 120 square millimeters or less, 100 square millimeters or less, 80 square millimeters or less, 60 square millimeters or less, such as 10x10 millimeters or less in either or both dimensions). Furthermore, each electron beam column provides at least one electron beam and has at least one detector. In one embodiment, each electron beam column is effectively a separate electron beam system. As mentioned above, in one embodiment, each post is placed in a pattern that matches the location of each field or die on object 100. In the case of an object (e.g., a 300 mm diameter semiconductor substrate) having 100 dies or fields, there would be provided, for example, 100 separate electron beam columns, each spaced 1 to 30 mm from the other (as opposed to a few microns spacing between multiple beams in a single column multi-beam inspection system).
In an embodiment, one or more of the electron beam columns may be movable relative to another one or more of the electron beam columns. In an embodiment, each of the electron beam columns is independently movable. In an embodiment, the electron beam column is movable in a first direction and movable in a second direction substantially orthogonal to the first direction. In an embodiment, one or more groups of electron beam columns are movable relative to one or more other electron beam columns, each group comprising a plurality of electron beam columns. For example, one row or column of the electron beam column may be moved relative to another row or column of the electron beam column.
Referring to fig. 6, an embodiment of an actuator system for moving an electron beam column is presented. In this embodiment, a plurality of electron beam columns are mounted on a structure 610, another plurality of electron beam columns are mounted on another structure 610, and so on as desired. The actuator 620 of the actuator system causes at least one of the structures 610 to move in a first direction 640 to change the position of at least one structure 610 relative to another structure 610. In an embodiment, the actuators 620 are arranged to change the pitch of the structures 610 (and thus the electron beam column 600) in the direction 640, and thus uniformly change the pitch of the structures 610. In an embodiment, the change in pitch causes the pitch to change from a first uniform pitch among the structures 610 to a second, different uniform pitch among the structures 610. In an embodiment, the actuators 620 are configured to move each of the structures 610 independently such that almost any spacing arrangement between the structures 610 may be provided. In one embodiment, actuator 620 includes a linear motor, a piezoelectric actuator, and/or a belt system. In an embodiment, actuator 620 may move structure 610 in up to 6 and including 6 degrees of freedom.
In an embodiment, the actuator system is configured to move the electron beam column in a second direction 630 (which, in an embodiment, is substantially orthogonal to the first direction 640). Details of an embodiment of an actuator to move the electron beam column 600 in the direction 630 will be described with reference to fig. 8 and 9.
Fig. 7 is a highly schematic perspective view of an embodiment of a multi-column electron beam apparatus of the type described with reference to fig. 6. As can be seen in fig. 7, the electron beam column 600 extends across the width/length of the object 100. Although the electron beam column 600 is shown in fig. 7 and 8 as extending from the structure 610, the electron beam column 600 may be integrated within the structure 610 (e.g., the structure 610 is generally U-shaped to accommodate the electron beam column in a U-shape).
Fig. 8 is a highly schematic side view of an embodiment of an electron beam column 600. The electron beam column includes electron beam optics 800, electron beam processing equipment 810, an optional sensor 820, an actuator portion 840, and an optional actuator 860. Fig. 9 is a highly schematic top or bottom view of an embodiment of the electron beam column 600 of fig. 8.
In one embodiment, the electron beam optics 800 includes an electron beam source to generate an electron beam 805. In one embodiment, the electron beam optics 800 include one or more optical elements (not shown here for convenience) to focus and direct the electron beam toward an object.
Electron beam processing equipment 810 includes a detector configured to sense secondary and/or backscattered electrons from the object caused by the beam incident on the object from electron beam optics 800. Thus, the electron beam column acts as an integrated supplier and detector of the electron beam to inspect a specific area of the object.
In an embodiment, the electron beam processing equipment 810 optionally includes optics/structures configured to cause movement of the beam (e.g., tilt the beam). Thus, in this case, the electron beam column has a field of view that is wider than the width of the beam itself. These optics/structures may move the beam (e.g., having a spot size of 1 to 30 nanometers) within the field of view of the electron beam column, e.g., scan the field of view of the electron beam column. In one embodiment, the optical element/structure is electrostatic. In one embodiment, the optics/structures (if present) of the electron beam processing equipment 810 and the electron beam optics 800 may both be electrostatic, i.e., there are no magnetic elements for processing the electron beam after it is emitted and before it is incident on the object. This arrangement enables the small electron beam column to be deflected rapidly as the case may be. Alternatively, in another embodiment, a permanent magnetic element in combination with an electrostatic element may implement an alternative embodiment of the pillar.
In one embodiment, electron beam processing equipment 810 optionally includes an electron column control system. The electron column control system enables control of the electron optics 800, optional optics/structures configured to create movement of the beam, detectors, actuator portions 840 and/or 850, and/or optional actuators 860. In an embodiment, the electron column control system comprises a central processing unit and a local data storage to enable individual control and thus in fact independent electron beam inspection by the electron beam column. In an embodiment, each electron beam column has the same or nearly the same data path. Thus, as the electron beam is scanned across the object, an image from each electron beam column is collected by the detector of each electron beam column and the image data is transmitted to the control system of the electron column. Parallel detection and processing at each column helps to avoid bottlenecks and achieve high data volumes and rates.
In an embodiment, the actuator portion 840 (of the actuator system including the actuator 620) enables the electron beam column 600 to move in the second direction 630 to change the position of the electron beam column 600 relative to another electron beam column. In an embodiment, the actuator portion 840 cooperates with an actuator portion 850 located in the structure 610 or on the structure 610, wherein the electron beam column 600 is attached to the structure 610. In an embodiment, the actuator portion 840 may include a coil or magnet, and the actuator portion 850 may be a cooperating magnet or coil. In an embodiment, the actuator portions 840, 850 form a linear motor. In an embodiment, only an actuator portion 840, e.g., a piezoelectric actuator, may be provided. In one embodiment, only an actuator portion 850 (e.g., a mechanical motor or belt system) in the structure 610 or on the structure 610 is provided to move the electron beam column 600. In an embodiment, the actuator portion 850 extends along a plurality of electron beam columns (e.g., the length of the structure 610) or includes portions along the structure 610 corresponding to each electron beam column 600. In an embodiment, the actuator portions 840 and/or 850 are arranged to change the pitch of the electron beam columns 600 on the structure 610 in the direction 630, and thus uniformly change the pitch of the electron beam columns 600. In one embodiment, the change in pitch changes the pitch from a first uniform pitch among the electron beam columns 600 to a second, different uniform pitch among the electron beam columns 600. In an embodiment, the actuator portions 840 and/or 850 are configured to independently move each of the electron beam columns 600 such that almost any spacing arrangement may be provided along the structure 610 between the electron beam columns 600. In one embodiment, actuator portions 840 and/or 850 include linear motors, piezoelectric actuators, and/or a belt system. In an embodiment, the actuator portions 840 and/or 850 may move the electron beam column in up to 6 and including 6 degrees of freedom.
Fig. 14 is a highly schematic representation of an embodiment of a multi-column electron beam inspection system comprising an array of electron beam columns 600, each electron beam column corresponding to at least one die or field on the object 100. Thus, in an embodiment, a plurality of small electron beam columns are arranged in an array (e.g., a substantially horizontal array) -in this case a two-dimensional array. The array of electron beam columns enables parallel cross-object inspection, i.e. a part of at least one of the electron beam columns inspects an object while another part of at least another one of the electron beam columns inspects another object.
In an embodiment, one or more of the electron beam columns may be movable relative to another one or more of the electron beam columns. In an embodiment, the electron beam column is movable in a first direction and movable in a second direction substantially orthogonal to the first direction. In an embodiment, one or more groups of electron beam columns are movable relative to one or more other electron beam columns, each group comprising a plurality of electron beam columns. For example, one row or column of the electron beam column may be moved relative to another corresponding row or column of the electron beam column.
In this embodiment, a combination of a long stroke positioning unit and a short stroke positioning unit is provided to position each electron beam column within a scan field on the object. For long stroke movement, a grid of structures is provided to independently move the electron beam column clusters in two substantially orthogonal directions (e.g., X or in Y), as schematically shown in the top and bottom views of fig. 14. The short stroke positioning unit will be described below with respect to fig. 15, and includes a two-dimensional short stroke actuator for the individual electron beam columns. A typical number of scan fields on an object is 100, so a grid of e.g. 10X10 electron beam columns involves 10 structures along the X-direction and 10 structures along the Y-direction. The schematic example of fig. 14 shows 4 rows along the X direction and 3 columns along the Y direction. In one embodiment, the grid includes a plurality of structures that are substantially perpendicular to another plurality of structures, but in one embodiment, the structures need not be perpendicular.
Referring to fig. 14, an embodiment of a long stroke actuator system for moving an electron beam column is presented. In this embodiment, a plurality of electron beam columns are mounted on a structure 1300, another plurality of electron beam columns are mounted on another structure 1300, and so on as desired. An actuator 1310 of the actuator system moves at least one of the structures 1300 in a first direction 1320 to change a position of at least one structure 1300 relative to another structure 1300. In an embodiment, the actuators 1310 are arranged to change the pitch of the structures 1300 (and thus the electron beam columns 600) in the direction 1320, and thus uniformly change the pitch of the structures 1300. In an embodiment, the change in pitch changes the pitch from a first uniform pitch in the structure 1300 to a different second uniform pitch in the structure 1300. In an embodiment, the actuators 1310 are configured to move each of the structures 1300 independently such that almost any spacing arrangement between the structures 1300 can be provided. In an embodiment, the actuator 1310 may move each of the structures 1300 or a combination thereof in up to 6 and including 6 degrees of freedom.
In this embodiment, a plurality of electron beam columns are mounted on the structure 1330, another plurality of electron beam columns are mounted on another structure 1330, and so on as desired. In one embodiment, the electron beam column mounted to structure 1330 is the electron beam column mounted to structure 1300. The actuator 1340 of the actuator system causes at least one of the structures 1330 to move in a second direction 1350 (which, in one embodiment, is substantially orthogonal to the first direction 1320) to change the position of at least one structure 1330 relative to another structure 1330. In an embodiment, the actuators 1340 are arranged to change the pitch of the structures 1330 (and thus the electron beam columns 600) in the direction 1350, and thus uniformly change the pitch of the structures 1330. In an embodiment, the change in pitch causes the pitch to change from a first uniform pitch among the structures 1330 to a different second uniform pitch among the structures 1330. In an embodiment, the actuator 1340 is configured to independently move each of the structures 1330 such that almost any spacing arrangement between the structures 1330 may be provided. In an embodiment, the actuator 1340 may move each of the structures 1330, or a combination thereof, in up to 6 and including 6 degrees of freedom.
In fig. 14 (and shown in fig. 15), structure 1330 overlaps structure 1300. Although structure 1330 is shown as covering structure 1300, it need not be. For example, structure 1300 may cover structure 1330. As another example, structures 1300 and 1330 may actually be interleaved such that portions of structure 1300 are between adjacent structures 1330 (or vice versa). As another example, structure 1300 may pass through the body of structure 1330 (or vice versa) using one or more appropriate slots.
In an embodiment, actuator 1310 and/or actuator 1340 includes a linear motor, a piezoelectric actuator, and/or a belt system. In an embodiment, the actuator 1310 may move the structure 1300 in up to 6 and including 6 degrees of freedom and/or the actuator 1340 may move the structure 1330 in up to 6 and including 6 degrees of freedom. In an embodiment, actuator 1310 and/or actuator 1340 can provide a range of, for example, up to 200 millimeters (e.g., a range of up to 50 millimeters, a range of up to 100 millimeters, a range of up to 150 millimeters) at speeds of up to 200 millimeters/second (e.g., up to 50 millimeters, a range of up to 100 millimeters, a range of up to 150 millimeters) for long stroke movement. In an embodiment, actuator 1310 and/or actuator 1340 provides lateral accuracy in the range of 10 microns to 100 microns.
In an embodiment, one or more of the motors for the long stroke movement and/or for the short stroke movement are not significantly affected by the electric and/or magnetic fields (and desirably, no electric and/or magnetic fields should be provided that affect the electron beam column 600). In an embodiment, the motor for the long stroke movement and/or for the short stroke movement is a piezo motor. Piezoelectric motors are generally insensitive to electric and/or magnetic fields. In an embodiment, the piezoelectric motor provides substantially no electric and/or magnetic field. Piezoelectric motors (e.g., linear piezoelectric motors, walking piezoelectric motors, hexapod piezoelectric motors, etc.) may provide a range and/or speed for each long stroke movement and/or for short stroke movements.
Referring to FIG. 15, in one embodiment, independent long stroke movement in the first direction 1320 and the second direction 1350 is achieved by applying, for example, a gearbox type configuration. Fig. 15 is a highly schematic side view of an embodiment of a dual structure gearbox type mechanism for long stroke movement of a parallel electron beam column 600, wherein the structures 1300, 1330 may be used to place the electron beam column 600, for example, below a long stroke positioning unit. A structure 1400 (e.g., a rod or bar) is provided and a short stroke actuator 1410 is attached to the structure 1400. The electron beam column 600 is attached to a short stroke actuator 1410.
In an embodiment, the short stroke actuator 1410 enables fine movement of the electron beam column 600 in, for example, up to 6 degrees of freedom (including, for example, the first direction 1320 and the second direction 1350). In one embodiment, actuator 1410 includes a linear motor, a piezoelectric actuator, and/or a belt system. In one embodiment, actuator 1410 includes a piezoelectric motor. In an embodiment, the actuator 1410 may provide a range of up to 20 millimeters (e.g., a range of up to 5 millimeters, a range of up to 10 millimeters, a range of up to 15 millimeters), for example, for fine stroke movement. As will be appreciated, the range of the actuator 1410 will typically be less than the range of the actuators 1310, 1340. In one embodiment, the actuator 1410 has dimensions within the size of a single scan field on the object to prevent the electron beam columns 600 from interfering with each other.
The structure 1400 is movable in a first direction 1320 along a structure 1330 (the structure 1330 is movable in a second direction 1350 by an actuator 1340) and in a second direction 1350 along a structure 1300 (the structure 1300 is movable in the first direction 1320 by an actuator 1310 (not shown in fig. 15)). In one embodiment, structure 1400 (and attached electron beam column 600) is guided to move along structure 1330 through bearings 1420 attached to structure 1400. In one embodiment, bearing 1420 is guided by an inner surface (as shown in FIG. 15) or an outer surface of structure 1330. In one embodiment, structure 1400 (and attached electron beam column 600) is guided to move along structure 1300 by bearings 1430 attached to structure 1400. In one embodiment, the bearings 1430 are guided by an inner surface (as shown in FIG. 15) or an outer surface of the structure 1300. In one embodiment, the actuator 1410 allows short stroke positioning within 2 micron lateral accuracy within each particular scan field.
In addition, separate stops 1440, 1450 are provided to allow separate movement of the structure 1400 and the attached electron beam column 600 in the first direction 1320 and the second direction 1350. In one embodiment, the brakes are actuated to be off or on by actuators 1460, 1470, respectively. If the stopper 1440 is closed for the structure 1330, the structure 1400 and attached electron beam column 600 are fixed in position on the structure 1330 (and thus the structure 1400 and attached electron beam column 600 cannot move relative to the structure 1330 when the stopper 1440 is closed, and thus in one embodiment the structure 1400 and attached electron beam column 600 cannot move in a long stroke manner in the first direction 1320 when the stopper 1440 is closed). However, in fig. 15, the brake 1440 is shown as on, and thus the structure 1400 and the attached electron beam column 600 may move along the structure 1330 when the structure 1300 moves in the first direction 1320. To effect movement of the structure 1400 and attached electron beam column 600 in the first direction 1320 by the structure 1300, as shown in fig. 15, the brake 1450 is closed such that the structure 1400 and attached electron beam column 600 are secured in place on the structure 1300. To move the structure 1400 and attached electron beam column 600 along the structure 1300 in the second direction 1350, the brake 1450 will be turned on and the brake 1440 will be turned off, and then the structure 1330 will be moved in the second direction 1350 by the actuator 1340. Thus, by selectively switching the actuators 1440, 1450 on and off by the actuators 1460, 1470, the structure 1400 and the attached electron beam column 600 can be moved to a desired position in conjunction with the movement of the structures 1300, 1330. As will be appreciated, a plurality of structures 1400 and respective attached electron beam columns 600 may be provided, each structure 1400 having a respective set of brakes 1440, 1450. In one embodiment, a single actuator may be provided for both brakes 1440, 1450. In one embodiment, the brakes 1440, 1450 are manually operated.
Referring to fig. 16A, one or more of the electron beam columns 600 may be placed below (or above) a long-stroke system comprising grids of overlapping structures 1300, 1330, as shown in fig. 2 and 3. Thus, in an embodiment, all of the electron beam columns 600 are located below the lowermost structures 1300, 1330 (or above the uppermost structures 1300, 1330). In the example of fig. 2 and 16A, each of the electron beam columns 600 is located partially below (or above) the center of the intersection of the structure 1300 and the structure 1330. As will be appreciated, the intersection is a virtual location where the overlapping structures intersect. Fig. 17A shows an example of an electron beam column 600 located completely below the lowermost structure 1300, 1330 (or above the uppermost structure 1300, 1330) and/or partially below (or above) the center of the intersection of the structure 1300 and the structure 1330.
Referring to fig. 16B, to save space in the Z direction and/or to add mechanical stability, one or more of the electron beam columns 600 may be disposed to the side of the adjacent structure 1300 and/or the adjacent structure 1330, e.g., to the side of each intersection, as shown in fig. 16B. Thus, in an embodiment, one or more of the electron beam columns 600 are not located below (or above) the structure 1300 and/or the structure 1330. Fig. 17B illustrates an example of an electron beam column 600 positioned to the side of an adjacent structure 1300 and/or an adjacent structure 1330 and/or not positioned below (or above) the structure 1300 and/or the structure 1330. As shown in fig. 17B, the electron beam column 600 may be at least partially located in the gap between adjacent structures 1300/1330, and thus the actuator 1410 and/or the electron beam column 600 are located above the bottom surface (or below the top surface) of the adjacent structure 1300/1330. In this embodiment, the brake 1440 and/or the brake 1450 operate with respect to the sides of their respective structures 1300, 1330. Thus, in this embodiment, the structure 1400 can be bent to accommodate the portion of the electron beam column 600 in the gap. For example, structure 1400 may extend from the side of structure 1300 (as seen in fig. 17B) and/or may extend from the side of structure 1330 (as seen in fig. 17B). The embodiments of fig. 16B and 17B otherwise use the same principles of moving the electron beam column 600 (e.g., using the movement of the brakes 1440, 1450 and structures 1300, 1330).
In an embodiment, one or more of the electron beam columns 600 and/or structures 1400 may have a metrology module 1480 (e.g., a radiation source (e.g., a laser) and a sensor) to measure a distance from one or more adjacent electron beam columns 600 and/or structures 1400 in order to determine its exact location relative to an object scan field (e.g., in order to effectively and/or accurately inspect within its associated die or field). Alternatively or additionally, the metrology module 1480 may measure the distance between the electron beam column 600 and one or more structures 1300, 1330 of the long-stroke system in order to determine its exact position relative to the object scan field. Additionally or alternatively, the metrology module 1480 (e.g., in the form of a sensor 820 as described below) may measure the position of the electron beam column 600 relative to the object scan field using one or more marks on the object (e.g., on each die or field).
In an embodiment, to achieve fine positioning, the structures 1300, 1330 can be moved in a first, relatively low resolution mode such that one or more of the electron beam columns 600 are scanned over a relatively large scan area (e.g., greater than 1 square micron and up to 200 square microns). In an embodiment, one or more techniques, such as pattern recognition, may be used to accurately locate a region of interest (e.g., a defect region). In a second step, the plurality of electron beam columns 600 are moved to their inspection positions with high accuracy using, for example, the structures 1300, 1330 and/or the actuator 1410, and then followed by a high resolution scan over a small area (desirably, encompassing the area of interest), such as an area larger than 0.1 square microns and up to 2 square microns. In an embodiment, for the second step, the electron beam column 600 is not physically moved, but only the object is centrifugally moved to perform the small area scan, or both the electron beam column 600 and the object are moved to perform the small area scan.
Accordingly, in an embodiment, a long stroke system is provided that includes an overlapping structure that holds the electron beam columns over their respective scan fields using, for example, a gearbox type of configuration to independently move the electron beam columns in two different directions (e.g., in the X and Y directions). In one embodiment, piezoelectric long and short stroke motors may be provided for precise positioning and/or no electrical/magnetic interference positioning. In an embodiment, the possibility of positioning a short stroke actuator and/or an electron beam column on the side of a long stroke structure is provided.
Thus, with the actuator system described in the present invention, the electron beam column can be arranged to accommodate different object sizes. Further, the actuator system may be arranged to accommodate different arrangements of fields or dies in terms of different sizes of fields or dies, different pitches of fields or dies, different shapes of fields or dies, and so forth. The adjustment is made such that the electron beam columns are each matched to a different field or die and therefore only the general pitch in, for example, X and/or Y of the electron beam columns is adjusted in order to match the electron beam columns to the respective field or die locations.
Thus, in an embodiment, the plurality of beams are pitches that employ integer multiples of the field or die pitch/pitch. Thus, in an embodiment, to allow die or field size or pitch to be variable, the actuator system moves the beam over X and/or Y by a distance of half the die pitch or field. In an embodiment, the electron beam columns are spaced apart by a distance at least 2 times greater than the pitch distance in order to achieve a range of motion of said columns.
Although the embodiment has described the use of the movable structure 610, the movement of the electron beam column 600 may be implemented in various ways. For example, each of the electron beam columns may be individually movable in the X and Y directions relative to the generally planar structure. For example, each electron beam column may have coils or magnets that cooperate with a planar arrangement of coils or magnets (similar to a planar motor). Further, although the object is depicted in the figures as being below the electron beam column, the object may also be located above the electron beam column.
In one embodiment, the electron beam column is substantially stationary during inspection. Thus, prior to inspection, the electron beam column is adjusted in X and/or Y to match the field or die location/size, and then the electron beam column is substantially stationary during inspection. During inspection, only the object is movable relative to the pre-positioned electron beam column. Optionally, the beam may be deflected to enable scanning of the electron beam during inspection as discussed above.
Further, in an embodiment, referring to fig. 10, actuators 860 may be used to make slight adjustments 1000, 1010 to the position (e.g., horizontal position or tilt) of at least the electron beam optics (which may include up to the entire electron beam column). This adjustment may be made when the entire object is moved relative to the electron beam column during processing of the object for inspection; for example, at least the electron beam optics position may change during the period when the object is moved to place a new inspection area within the die or field within the field of view of the associated electron beam column. In one embodiment, the adjustment may account for errors in the location of the electron beam column or its electron beam optics that may result from movement by, for example, actuator 620 and/or actuators 840, 850. In that case, in an embodiment, the actuator 860 may move the electron beam optics, for example, in the direction 1010, to place the electron beam optics at a desired location. In an embodiment, the adjustment in direction 1000 may account for misalignment with areas having suspected defects as described below, for example.
Similarly, actuators 840, 850 may be used to make slight adjustments 1000, 1010 to the position (e.g., horizontal position or tilt) of the electron beam column 600. This adjustment may be made when the entire object is moved relative to the electron beam column during processing of the object for inspection; for example, when the object is moved to place a new inspection area within the die or field within the field of view of the associated electron beam column, the individual electron beam column positions may be changed during the cycle. In one embodiment, the adjustment may account for errors in the location of the electron beam column that may result from movement by, for example, actuator 620 and/or actuators 840, 850. In that case, in an embodiment, the actuators 840, 850 may move the electron beam column, for example, in the direction 1010, to place the electron beam column (and its electron beam optics) at a desired location. In an embodiment, the adjustment in direction 1000 may account for misalignment with areas having suspected defects as described below, for example.
The adjustment as described above may be based on sensor data as described below and/or on other measurement data, such as alignment, overlay and mask registration data, which helps to locate where pattern features of the pattern are located in the corresponding die or field at the object, i.e. computationally predict the shift of the pattern features on the object.
In one embodiment, the electron beam column optionally includes an actuator 860 to move the electron beam optics 800 and/or the electron beam processing equipment 810. The actuator 860 may achieve fine movement as compared to the relatively coarse movement provided by the actuator portions 840 and/or 850. In one embodiment, the actuator 860 comprises a linear motor and/or a piezoelectric actuator. In an embodiment, the actuator 860 may move the electron beam optics 800 and/or the electron beam processing equipment 810 in up to 6 and including 6 degrees of freedom.
In one embodiment, the electron beam column includes an optional sensor 820. In an embodiment, the sensor 820 provides radiation 830 (e.g., light) to determine the position of the electron beam column relative to the object. For example, the sensor 820 may determine a distance from the electron beam column to the object and/or determine a tilt between the electron beam column and the object. Additionally or alternatively, in an embodiment, the sensor 820 measures alignment marks or other targets on the object to determine the relative position between the electron beam column and the site on the object. This information may be provided to an actuator system (e.g., actuator portion 840 and/or 850) and/or electron beam optics (e.g., actuator 860) for moving the electron beam column to enable control of the position of the electron beam column and/or electron beam optics, respectively, and/or to optics/structures of the electron beam processing equipment 810 for moving the beam to enable control of the position of the electron beam.
A smaller field of view reduces the pixel size, enabling detection of smaller pattern drift, but requires more precise alignment. Thus, if the column is well aligned, either by high precision mechanical electronics or by using, for example, sensors, a smaller field of view may be used, resulting in higher resolution and higher inspection speed.
Further, in an embodiment, a synergistic combination of computational defect prediction and parallel inspection is provided using multiple electron beam columns arranged at dies or fields as discussed above to increase throughput, accuracy and/or efficiency by inspecting less than the entire area of the individual dies or fields. For example, calculating a defect prediction may reduce the size of the area to be inspected by about 2 to 3 orders and thus provide significantly faster inspection to identify potentially defective features on an object as compared to a single or multi-beam electron beam inspection apparatus. For example, a single beam electron beam apparatus will take a significant amount of time to scan a die or field, let alone all of the die or field on an object, and, in addition, most of the time to inspect fine pattern features. Furthermore, a multi-beam electron beam apparatus will have a relatively large combined field of view across several beams. However, the area where defects are likely to occur (about 0.5 to 3 square microns) is already smaller than the field of view of a single beam (about 100 square microns), let alone a combined field of view of 10000 square microns (e.g., a 10x10 array of beams). Thus, only one or a pair of expected defects will fall within the combined field of view, and thus the multi-beam electron beam apparatus will not significantly accelerate the inspection of the defects.
Since it is known that many defects (e.g., on a semiconductor wafer) are systematic and pattern dependent, improvements in, for example, throughput can be achieved, and thus the likelihood that a computational defect prediction will identify defects in the same or similar locations (possibly except for the edge of the semiconductor wafer) in each die or field is quite high. Thus, if the electron beam column is pre-aligned with the die or field, a single relative movement between the group of electron beam columns and the object will place various regions having one or more predicted defects within the field of view of all, most, or many of the electron beam columns, enabling parallel inspection. In other words, the electron beam columns may remain substantially stationary relative to each other during and between inspections, such that relative movement between the set of electron beam columns and the object may position the point of impact of each electron beam in parallel at a respective region in each die or field having one or more potential defects. In this way, the electron beam can be rapidly and concurrently located at the potentially defective region. Furthermore, it would be desirable to employ an electron beam to inspect an area that is less than the entire area of each die or field in order to achieve substantial speed and throughput gains.
Thus, in an embodiment, a two-dimensional array of small electron beam columns is provided, with at least one column per object die or field, which inspects less than the entire area of each corresponding die or field based on the identification of one or more areas in the individual die or field that potentially have one or more defects. In an embodiment, the one or more defects are computationally predicted, for example by simulation. In an embodiment, the electron beam column may be adjusted in at least the X and/or Y direction with respect to pitch to achieve alignment with the die or field.
As mentioned above, in an embodiment, the entire die or field of the object is not inspected. In an embodiment, most of the dies or fields of the object are not inspected. In an embodiment, the entire patterned portion of the object is not inspected. In one embodiment, the area with potential defects may be referred to as a hot spot. A hot spot is an area that includes one or more pattern features that may have a tendency to have defects in their patterning. Thus, in an embodiment, the inspection system may inspect for discrete hot spots within a die or field. In an embodiment, the hot spot region measures 2 square microns or less (e.g., 1.41 microns x 1.41 microns, 1 micron x1 micron, or 0.77 microns x 0.77 microns). The die or field may have multiple hot spot regions. In many cases, the hot spot areas will be discrete within the die or field. In an embodiment, multiple hotspot zones may be positioned adjacent to each other such that they overlap or connect, forming a contiguous group of hotspot zones. Thus, by inspecting only hot spots, electron beam object inspection throughput can be increased by about two orders of magnitude (about 100 times).
In an embodiment, an area of predicted defects (e.g., a hot spot) may be identified from a pattern (e.g., of or for a patterning device) using any suitable method. For example, the area of predicted defects may be identified by analyzing at least a portion of the pattern using an empirical model or a computational model. In an empirical model, the image of the pattern is not simulated (e.g., resist image, optical image, etch image); instead, the empirical model predicts the defects or the probability of defects based on correlations between the process parameters, the parameters of the pattern, and the defects. For example, the empirical model may be a classification model or a database of patterns of defect propensity. In the computational model, a portion or characteristic of the printed pattern is calculated or simulated, and defects are identified based on the portion or characteristic. For example, line back defects may be identified by finding line ends that are too far from their desired location; bridging defects can be identified by finding the point where the two lines improperly join; overlay defects can be identified by finding two features on separate layers that do not overlap properly or do not overlap properly. In another example, the area of predicted defects may be determined experimentally, such as by focus exposure matrix substrate verification (qualification) or a suitable metrology tool.
In an embodiment, one or more regions comprising one or more predicted defects are determined based on design rule check (design rule check). The design rules may include specifications for minimum spacing between two features, minimum size of features, and the like. Thus, the pattern to be printed may be checked for compliance with the design rules, and those portions of the pattern that fail to comply or are close to failing to comply with the design rules may be identified (and experimentally tested by patterning of the substrate). These portions may be considered attention areas, which are areas of the pattern (die) that have a higher sensitivity to process drift (and thus are likely to have defects).
In an embodiment, one or more regions comprising one or more predicted defects are determined under nominal conditions based on one or more mathematical models of the patterning process (this may be for a full pattern (full wafer) or for a library of known problem pattern features). Portions of the pattern (or pattern features) that print poorly (and therefore likely to have defects) even under nominal conditions may be identified. These portions may be considered attention patterns.
In an embodiment, one or more regions comprising one or more predicted defects are determined by simulation using one or more mathematical models of the patterning process that use design data of the pattern and data from the patterning process. For example, the process described with respect to FIG. 13 may be used to derive the presence of regions of predicted defects and to determine their location in the respective field or die. In an embodiment, the simulation process described with respect to FIG. 13 may be performed for differentiating different drifts from nominal conditions, identifying those patterns (hot spots) that have a higher sensitivity to process drift (and therefore are more likely to have defects). Optionally, metrology data for or from one or more substrates exposed through the pattern may be employed to further augment the simulation. For example, a measured focus map for one or more substrates may identify a focus for a die or field (or portion thereof), and based on the focus map, only those dies or fields (or portions thereof) having a defocus that exceeds a threshold are examined; however, this may result in a lower capture rate, as it will not examine all possible sensitive areas across all dies or fields.
In an embodiment, hot spots may be identified by evaluating a process window of features in a region of a pattern. The process window for a feature of a pattern is the space of processing parameters (e.g., dose and focus) at which the feature will be produced within specification on the substrate. Examples of pattern specifications for potential defects include inspection for necking, line back, line thinning, CD, edge placement, overlap, resist top loss, resist undercut, and bridging. Each feature in a region of the pattern may have a different process window. The combined process window for all features in that region may be obtained by merging (e.g., overlapping) the process windows for each individual feature in that region. The boundaries of the process window for all of the features include the boundaries of the process window for some of the individual features. In other words, the individual features limit the combined process window of all features in the region. These features may be referred to as "hot spots". Thus, when evaluating which regions of an object are to be inspected, it is possible and economical to focus on hot spots that are actually those pattern features that do not fall within the combined process window of a particular region. When a hot spot in a region printed on the substrate is defect free, it is most likely that all features in that region are defect free. It is possible to determine the process window of a hotspot based on the hotspot location and process window of the individual hotspot and/or to compile the process window of the hotspot into a map-i.e. to determine the process window that varies depending on the location. This process window map may characterize the layout-specific sensitivity and process margin of the pattern. In one embodiment, ASML ultrafast particle (Tachyon) FEM model software may be used to identify hotspots.
In an embodiment, only one or more attention areas, attention patterns, or hot spots per die or field are inspected using the multi-electron beam column apparatus described in the present invention. In one embodiment, the attention area, attention pattern, or hot spot is smaller than the field of view of the electron beam column. In one embodiment, the attention area, attention pattern, or hot spot has an area between 0.05 square microns and 10 square microns, between 0.1 square microns and 5 square microns, or between 0.5 square microns and 2 square microns.
Referring to fig. 11A, 11B, and 11C, an embodiment of a technique using bright field inspection is shown highly schematically using attention area 1100. In fig. 11A, an attention area 1100 has been determined for each field or die 120 of the object 100. The attention area 1100 may be determined as described above. Next, referring to FIG. 11B, a bright field inspection is used to inspect the attention area to identify suspected defects 410. The attention area is typically examined by providing relative movement between the object and the bright field beam so that all attention areas will be examined. The bright field inspection may not be able to positively identify whether the suspected defect 410 is an actual defect because the feature or defect may be too small. An electron beam defect review (review) is then performed at fig. 11C to identify which of the suspected defects 410 are actual defects and optionally characterize the defects (e.g., provide CD values). As shown in this example, the number of actual defects in fig. 11C is smaller than the number of suspected defects in fig. 11B. As can be seen, this process is, for example, quite time consuming.
Referring to fig. 12A and 12B, an embodiment of electron beam inspection using multiple electron beam columns and a predictive hot spot is highly schematically illustrated. In fig. 12A, one or more hot spots 410 for each field or die 120 on object 100 are determined using techniques as described above. Typically, there will be multiple hot spots/fields or dies. Further, as shown in fig. 12A, many hot spots may occur in the same or similar locations in each field or die. In one embodiment, a margin may be added to each identified hotspot location to help ensure that the respective electron beam has a high probability of inspecting whether the hotspot has a predicted defect. Furthermore, the threshold for identifying hot spots may be smaller for defect inspection than for controlling the patterning process, designing the patterning process, and so forth. This allows even "marginal" hotspots to be evaluated to help ensure more integrity. For example, to balance the appropriate capture rate against the risk of inaccurate simulation, in one embodiment, there will be more predicted hotspots than bright-field identified suspected defects.
Further, as shown in fig. 12A, a field of view 500 per field or die of the electron beam column 600 is shown. In this example, each of the fields of view 500 is aligned with an area having one or more hot spots. If one or more of those fields of view 500 are not aligned with a region having one or more hot spots, the process may still proceed, except that those fields of view will not be "productive," and may require further inspection to cover regions that may not be inspected by all or most of the simultaneous beams. In an embodiment, those one or more fields of view 500 that are not aligned with regions having one or more hot spots as described with respect to fig. 10 may be slightly adjusted.
Next, relative movement is performed between the electron beam column and the object at fig. 12A and 12B so that the respective fields of view are aligned over all the hot spot regions so that electron beam inspection can be performed. Subsequently or concurrently, the results of the e-beam inspection are evaluated to identify whether the hot spot is defective, and optionally to characterize the defect (e.g., to provide a CD value). As shown in this example, the number of actual defects in fig. 12B is smaller than the number of hot spots in fig. 12A.
Although fig. 12A and 12B are described with respect to hot spots, the attention area and/or attention pattern may use the same process. However, the attention area and/or attention pattern may be larger in area than the hot spot, and thus inspection may be time consuming, although inspecting the attention area and/or attention pattern using multiple electron beam columns will yield an increase in throughput compared to the process of fig. 11A-11C.
Thus, the techniques described in this disclosure may replace bright field inspection, including ramping during the process and including using bright fields of calculated regions (such as attention regions or attention patterns) that predict defects. To achieve high throughput, the total area of the predicted hotspot may be about 2 to 3 orders of magnitude smaller than the total attention area estimated for bright field inspection. Furthermore, multiple electron beam columns arranged to measure in parallel may inspect all predicted hot spots about 1 to 2 orders of magnitude faster than a single electron beam defect review may identify actual defects as shown in fig. 11C. 30. 40, 60, 70, 80, 90, 100, 110 or more electron beam columns will achieve this acceleration.
The results of the examination may be used in various ways. For example, pattern features associated with hotspots identified as defective may be removed or corrected, such as during a design process, their patterning compensated for by changing process parameters, and so forth. Hotspots identified as being defect-free can be used to tune the model. The profile of the hot spot (whether defective or not) can be used to calibrate the model, i.e., the profile produced by the model can be compared to the measured profile, and then the model updated accordingly.
Although the above discussion has focused primarily on the inspection of substrates in the form of, for example, semiconductor wafers, the apparatus and methods of the present invention may be applied to patterning devices (e.g., masks or reticles). That is, the object being inspected may be a patterning device. Therefore, the number of electron beam columns can be appropriately selected.
In an embodiment, the patterning device is corrected by the electron beam column based on a parameter derived from the detected electrons. In one embodiment, the correction is made where the object being inspected is the patterning device itself or a similar version or replica of the patterning device. In one embodiment, the correction is performed where the object being inspected is a substrate (e.g., a semiconductor substrate) onto which the pattern is transferred.
In an embodiment, the apparatus and methods described in the present disclosure are adapted to additionally or alternatively enable patterning device repair. That is, in an embodiment, a multi-electron beam column apparatus is provided that enables repair at multiple dies or fields in parallel. The electron beam provided by the electron beam column may effect the repair (and optionally may be used for inspection as described in the present invention). In an embodiment, the electron beam effects removal of material from the patterning device. If the electron beam column performs the measurement, the power of the electron beam can be adjusted between the measurement and the repair. In one embodiment, to effect repair, a material may be provided to interact with the electron beam. In an embodiment, an outlet 700 is provided to supply a precursor fluid (e.g., gas). The fluid may enable deposition of materials when used in combination with an electron beam. In one embodiment, the ion supply device is used in place of, or in combination with, an electron beam column to effect the repair. In one embodiment, the ion supply is configured to provide metal ions. Details of the repair of the patterning device may be found, for example, in U.S. patent application publication No. 2004-.
Furthermore, while the above discussion has focused primarily on inspection using an electron beam column, inspection apparatus other than electron beam columns may be used in the apparatus and methods described in the present invention. That is, each of the plurality of electron beam columns may be replaced by or supplemented with a different inspection apparatus. The apparatus and methods described in this disclosure will be substantially the same except for differences in the types of inspection apparatus, except that the methods and apparatus are modified to accommodate different inspection apparatus.
In an embodiment, there is provided an electron beam inspection apparatus to inspect an object comprising a plurality of dies or fields, the apparatus comprising: a plurality of electron beam columns, each configured to provide an electron beam and to inspect scattered or secondary electrons from the object, each electron beam column arranged to inspect a different respective field or die associated with the electron beam column; and a non-transitory computer program product comprising machine readable instructions, at least some of the instructions configured to cause relative movement between the object and the electron beam column such that each of the electron beams inspects an area of its respective field or die that is less than an entire area of the respective field or die.
In an embodiment, at least one of the regions comprises an identified hotspot. In an embodiment, at least some of the instructions are configured to determine the presence and/or location of a hot spot. In an embodiment, at least some of the instructions are configured to identify hot spots by simulation. In an embodiment, the plurality of electron beam columns is arranged in a two-dimensional array and comprises at least 30 electron beam columns. In an embodiment, the apparatus further comprises an actuator system configured to move one or more of the electron beam columns relative to another one or more of the electron beam columns. In an embodiment, at least some of the instructions are configured to cause the plurality of electron beams to simultaneously irradiate their respective fields or respective areas of the die.
In an embodiment, there is provided an electron beam inspection apparatus including: a plurality of electron beam columns, each configured to provide an electron beam and to detect scattered or secondary electrons from the object, each electron beam column arranged to inspect a different respective field or area of the die associated with the electron beam column; and an actuator system configured to move one or more of the electron beam columns relative to another one or more of the electron beam columns.
In an embodiment, the actuator system is configured to change a pitch of the plurality of electron beam columns. In an embodiment, the plurality of electron beam columns are arranged in a two-dimensional array, and the actuator system is configured to change the position of the electron beam columns in a first direction and in a second direction substantially orthogonal to the first direction. In an embodiment, each electron beam column is capable of moving independently of the other electron beam columns. In one embodiment, the plurality of electron beam columns includes at least 30 electron beam columns. In an embodiment, the apparatus further comprises a non-transitory computer program product comprising machine readable instructions configured to cause relative movement between the object and the electron beam column such that each of the electron beams inspects an area of its respective field or die that is less than an entire area of the respective field or die. In an embodiment, at least one of the regions comprises an identified hotspot. In an embodiment, the apparatus includes a non-transitory computer program product comprising machine readable instructions configured to determine the presence and/or location of a hot spot. In an embodiment, the apparatus includes a non-transitory computer program product comprising machine-readable instructions configured to identify a hotspot through simulation.
In an embodiment, there is provided a method of electron beam inspection of an object comprising a plurality of dies or fields, the method comprising: having a plurality of electron beam columns, each configured to provide an electron beam and to detect scattered or secondary electrons from the object, and each arranged to inspect a different respective field or die associated with the electron beam column; causing relative movement between the object and a plurality of electron beam columns such that each of the electron beams inspects an area of its respective field or die that is less than an entire area of the respective field or die; providing said electron beam from said electron beam column onto the object; and detecting scattered electrons or secondary electrons from the object using the electron beam column.
In an embodiment, at least one of the regions comprises an identified hotspot. In an embodiment, the method further comprises determining, by the computer, the presence and/or location of the hot spot. In an embodiment, the method further comprises identifying the hot spots by computer simulation. In an embodiment, the plurality of electron beam columns is arranged in a two-dimensional array and comprises at least 30 electron beam columns. In an embodiment, the method further comprises moving one or more of the electron beam columns relative to another one or more of the electron beam columns using an actuator. In one embodiment, the object comprises a patterning device or a semiconductor wafer. In an embodiment, the method further comprises repairing the object or the patterning device based on a parameter derived from the detected electrons from the object.
In one embodiment, a method of electron beam inspection is provided, the method comprising: having a plurality of electron beam columns, each configured to provide an electron beam and to detect scattered or secondary electrons from an object, and each arranged to inspect a different respective field or area of a die associated with the electron beam column; and moving one or more of the electron beam columns relative to another one or more of the electron beam columns using an actuator system.
In one embodiment, the method includes varying a pitch of the plurality of electron beam columns. In one embodiment, a plurality of electron beam columns are arranged in a two-dimensional array and the positions of the electron beam columns in a first direction and in a second direction substantially orthogonal to the first direction are varied. In an embodiment, each electron beam column is capable of moving independently of the other electron beam columns. In one embodiment, the plurality of electron beam columns includes at least 30 electron beam columns. In an embodiment, the method further comprises causing relative movement between the object and the electron beam column such that each of the electron beams inspects an area of its respective field or die that is less than an entire area of the respective field or die. In an embodiment, at least one of the regions comprises an identified hotspot. In an embodiment, the method further comprises determining, by the computer, the presence and/or location of the hot spot. In an embodiment, the method further comprises identifying the hot spots by computer simulation. In one embodiment, the object comprises a patterning device or a semiconductor wafer. In an embodiment, the method further comprises repairing the object or the patterning device based on a parameter derived from the detected electrons from the object.
In an embodiment, there is provided an electron beam inspection apparatus including: a plurality of electron beam columns, each configured to provide an electron beam and to detect scattered electrons or secondary electrons from an object; and an actuator system configured to move one or more of the electron beam columns relative to another one or more of the electron beam columns, the actuator system comprising a plurality of first movable structures at least partially overlapping a plurality of second movable structures, the first and second movable structures supporting the plurality of electron beam columns.
In an embodiment, one or more of the first movable structures are movable relative to one or more other of the first movable structures, and/or one or more of the second movable structures are movable relative to one or more other of the second movable structures. In one embodiment, one of the electron beam columns is connected to a column structure having: a first part thereof physically and movably engaged with a first one of the first movable structures; and a second part thereof physically and movably engaged with a second one of the second movable structures. In an embodiment, the first part is located within the first movable structure and/or the second part is located within the second movable structure. In an embodiment, the apparatus further comprises: a first brake configured to engage with the first movable structure so as to hold the post structure in a fixed position relative to the first movable structure; and/or a second detent configured to engage with the second movable structure so as to hold the post structure in a fixed position relative to the second movable structure. In an embodiment, the apparatus includes the first brake and the second brake, and further includes a control system configured to: causing the first actuator to be engaged when the second actuator is disengaged to allow the second movable structure to move the electron beam column of the column structure; and such that the second actuator is engaged when the first actuator is disengaged to allow the first movable structure to move the electron beam column of the column structure. In an embodiment, one or more electron beam columns are positioned to the sides of and in the gaps between adjacent first and/or second movable structures. In an embodiment, at least one of the electron beam columns is connected to a short stroke actuator having a smaller range of movement than the first and second movable structures. In an embodiment, the apparatus further comprises a plurality of sensors, each sensor being configured to measure a distance to enable determination of the position of the associated electron beam column relative to an adjacent electron beam column. In an embodiment, each electron beam column is arranged to inspect a different respective field or area of a die of an object associated with the electron beam column. In an embodiment, the apparatus further comprises a non-transitory computer program product comprising machine readable instructions, at least some of said instructions configured to cause relative movement between the object and said electron beam column such that each of the electron beams inspects an area of its respective field or die that is less than the entire area of said respective field or die.
In one embodiment, a method of electron beam inspection is provided, the method comprising: having a plurality of electron beam columns, each configured to provide an electron beam and to detect scattered electrons or secondary electrons from an object; moving one or more of the electron beam columns relative to another one or more of the electron beam columns using an actuator system comprising a plurality of first movable structures at least partially overlapping a plurality of second movable structures, the first and second movable structures supporting a plurality of electron beam columns; providing said electron beam from said electron beam column onto the object; and detecting scattered electrons or secondary electrons from the object using the electron beam column.
In an embodiment, the method comprises moving one or more of the first movable structures relative to one or more other of the first movable structures and/or moving one or more of the second movable structures relative to one or more other of the second movable structures. In one embodiment, one of the electron beam columns is connected to a column structure having: a first part thereof and a second part thereof, and the method further comprises moving the first part when the first part is physically engaged with a first one of the first movable structures, and moving the second part when the second part is physically engaged with a second one of the second movable structures. In an embodiment, the first part is located within the first movable structure and/or the second part is located within the second movable structure. In an embodiment, the method further comprises: engaging a first detent with the first movable structure to hold the post structure in a fixed position relative to the first movable structure; and/or engaging a second detent with the second movable structure to hold the post structure in a fixed position relative to the second movable structure. In one embodiment, the method comprises: causing the first actuator to be engaged when the second actuator is disengaged to allow the second movable structure to move the electron beam column of the column structure; and causing the second actuator to be engaged when the first actuator is disengaged to allow the first movable structure to move the electron beam column of the column structure. In an embodiment, one or more electron beam columns are positioned to the sides of and in the gaps between adjacent first and/or second movable structures. In an embodiment, the method further comprises moving at least one of the electron beam columns using a short stroke actuator having a range of movement that is less than the range of movement of the first and second movable structures. In an embodiment, the method further comprises using a plurality of sensors, each sensor measuring a distance to enable determination of the position of the associated electron beam column relative to an adjacent electron beam column. In an embodiment, each electron beam column is arranged to inspect a different respective field or area of a die of an object associated with the electron beam column. In an embodiment, the method further comprises causing relative movement between the object and the electron beam column such that each of the electron beams inspects an area of its respective field or die that is less than an entire area of the respective field or die. In one embodiment, the object comprises a patterning device or a semiconductor wafer. In an embodiment, the method further comprises repairing the object or the patterning device based on a parameter derived from the detected electrons from the object.
In an embodiment, there is provided a patterning device repair apparatus including: a plurality of beam columns, each beam column configured to provide a beam of radiation, each beam column arranged to repair a different respective field or region of a die of a patterning device associated with the beam column using a respective beam of radiation; and an actuator system configured to move one or more of the beam columns relative to another or other ones of the beam columns.
In an embodiment, each of the beam columns is further configured to detect scattered electrons or secondary electrons from the patterning device. In an embodiment, each beam column is arranged to examine a different respective field or region of the die associated with the beam column. In one embodiment, the beam columns are each configured to provide an electron beam. In one embodiment, the beam columns are each configured to provide an ion beam.
In association with an imaging device, such as an SEM, embodiments may include a computer program containing one or more sequences of machine-readable instructions embodying the practice of the methods as described herein. For example, this computer program may be included with or in the imaging device of fig. 3 and/or with or in the control unit LACU of fig. 2. A data storage medium (e.g., semiconductor memory, magnetic or optical disk) having such a computer program stored therein may also be provided. In case an existing device of the type shown in e.g. fig. 1 to 3 is already in production and/or in use, embodiments may be implemented by providing a computer program product updated to cause a processor of the device to perform the method as described in the present invention.
Embodiments of the invention may take the form of: a computer program containing one or more sequences of machine-readable instructions describing a method as disclosed in the present invention; or a data storage medium (e.g., semiconductor memory, magnetic or optical disk) having such a computer program stored therein. Further, machine readable instructions may be included in two or more computer programs. The two or more computer programs may be stored on one or more different memories and/or data storage media.
Any controller described in this disclosure may be operable, individually or in combination, when one or more computer programs are read by one or more computer processors located within at least one component of the lithographic apparatus. The controllers may each or in combination have any suitable configuration for receiving, processing, and sending signals. One or more processors are configured to communicate with at least one of the controllers. For example, each controller may comprise one or more processors for executing a computer program comprising machine-readable instructions for the method described above. The controller may include a data storage medium for storing such computer programs, and/or hardware for receiving such a medium. Thus, the controller may operate according to machine readable instructions of one or more computer programs.
Although the foregoing may make specific reference to the use of embodiments in the context of optical lithography, it will be appreciated that embodiments of the invention may be used in other applications (e.g. imprint lithography), and are not limited to optical lithography where the context allows. In imprint lithography, a topography in a patterning device defines the pattern created on a substrate. The topography of the patterning device may be pressed into a layer of resist supplied to the substrate where the resist is cured by applying electromagnetic radiation, heat, pressure or a combination thereof. After the resist is cured, the patterning device is moved out of the resist, leaving a pattern therein.
Furthermore, although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described in this document may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin-film magnetic heads, etc. One of ordinary skill in the art will appreciate that, in the context of such alternative applications, any use of the terms "wafer" or "die" herein may be considered as synonymous with the more general terms "substrate" or "target portion", respectively. The substrate referred to in this disclosure may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology tool and/or an inspection tool. Where applicable, the disclosure herein may be applied to these and other substrate processing tools. In addition, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used in this disclosure may also refer to a substrate that already contains multiple processed layers.
The terms "radiation" and "beam" used herein encompass all types of electromagnetic radiation, including Ultraviolet (UV) radiation (e.g. having a wavelength of or about 365 nm, 355 nm, 248 nm, 193 nm, 157 nm or 126 nm) and extreme ultra-violet (EUV) radiation (e.g. having a wavelength in the range of 5 nm to 20 nm), as well as particle beams, such as ion beams or electron beams.
The term "lens", where the context allows, may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components.
The term "optimization" as used in this disclosure refers to or means adjusting patterning process equipment, one or more steps of a patterning process, and the like, such that the results and/or process of patterning have more desirable characteristics, such as higher accuracy of transfer of the design layout on the substrate, a larger process window, and the like. Thus, the term "optimize/optimize" as used in the present invention refers or means a process of identifying one or more values for one or more parameters that provide an improvement in at least one correlation metric, such as local best/local optimum, as compared to an initial set of one or more values for those one or more parameters. "best/optimal" and other related terms should be construed accordingly. In one embodiment, the optimization step/optimization step may be applied iteratively to provide further improvement of one or more metrics.
The invention may be further described using the following aspects:
1. an electron beam inspection apparatus for inspecting an object comprising a plurality of dies or fields, the apparatus comprising:
a plurality of electron beam columns, each configured to provide an electron beam and to detect scattered or secondary electrons from the object, each electron beam column arranged to inspect a different respective field or die associated with the electron beam column; and
a non-transitory computer program product comprising machine readable instructions, at least some of the instructions configured to cause relative movement between the object and the electron beam column such that each of the electron beams inspects an area of its respective field or die that is less than an entire area of the respective field or die.
2. The device of aspect 1, wherein at least one of the regions comprises an identified hotspot.
3. The apparatus of aspect 2, wherein at least some of the instructions are configured to determine the presence and/or location of the hot spot.
4. The apparatus of aspect 2 or aspect 3, wherein at least some of the instructions are configured to identify the hotspot by simulation.
5. The apparatus of any of aspects 1 to 4, wherein the plurality of electron beam columns are arranged in a two-dimensional array and comprise at least 30 electron beam columns.
6. The apparatus of any of aspects 1 to 5, further comprising an actuator system configured to move one or more of the electron beam columns relative to another one or more of the electron beam columns.
7. The apparatus of any of aspects 1 to 6, wherein at least some of the instructions are configured to cause a plurality of the electron beams to irradiate their respective fields or respective areas of a die at a same time.
8. An electron beam inspection apparatus comprising:
a plurality of electron beam columns, each configured to provide an electron beam and to detect scattered or secondary electrons from an object, each electron beam column arranged to inspect a different respective field or area of a die associated with the electron beam column; and
an actuator system configured to move one or more of the electron beam columns relative to another one or more of the electron beam columns.
The apparatus of aspect 8, wherein the actuator system is configured to change a pitch of the plurality of electron beam columns.
10. The apparatus of aspect 8 or aspect 9, wherein the plurality of electron beam columns are arranged in a two-dimensional array, and the actuator system is configured to change a position of the electron beam columns in a first direction and in a second direction substantially orthogonal to the first direction.
11. The apparatus of any of aspects 8 to 10, wherein each electron beam column is capable of moving independently of the other electron beam columns.
12. The apparatus of any of aspects 8 to 11, wherein the plurality of electron beam columns comprises at least 30 electron beam columns.
13. The apparatus of any of aspects 8 to 12, further comprising a non-transitory computer program product comprising machine readable instructions configured to cause relative movement between the object and the electron beam column such that each of the electron beams inspects an area of its respective field or die that is less than an entire area of the respective field or die.
14. The apparatus of any of aspects 8 to 13, wherein at least one of the regions comprises an identified hotspot.
15. The apparatus of aspect 14, comprising a non-transitory computer program product comprising machine readable instructions configured to determine the presence and/or location of the hot spot.
16. The apparatus of aspect 14 or aspect 15, comprising a non-transitory computer program product comprising machine-readable instructions configured to identify the hotspot by simulation.
17. A method of electron beam inspection of an object comprising a plurality of dies or fields, the method comprising:
having a plurality of electron beam columns, each configured to provide an electron beam and to detect scattered or secondary electrons from the object, and each arranged to inspect a different respective field or die associated with the electron beam column;
causing relative movement between the object and the plurality of electron beam columns such that each of the electron beams inspects an area of its respective field or die that is less than an entire area of the respective field or die,
providing the electron beam from the electron beam column onto the object; and
detecting scattered electrons or secondary electrons from the object using the electron beam column.
18. The method of aspect 17, wherein at least one of the regions comprises an identified hotspot.
19. The method of aspect 18, further comprising determining, by a computer, the presence and/or location of the hot spot.
20. The method of aspect 18 or aspect 19, further comprising identifying the hotspot by computer simulation.
21. The method of any of aspects 17-20, wherein the plurality of electron beam columns are arranged in a two-dimensional array and comprise at least 30 electron beam columns.
22. The method of any of aspects 17 to 21, further comprising moving one or more of the electron beam columns relative to another one or more of the electron beam columns using an actuator.
23. The method of any of aspects 17 to 22, wherein the object comprises a patterning device or a semiconductor wafer.
24. The method of any of aspects 17 to 23, further comprising repairing the object or patterning device based on a parameter derived from the detected electrons from the object.
25. An electron beam inspection method, the method comprising:
having a plurality of electron beam columns, each configured to provide an electron beam and to detect scattered or secondary electrons from an object, and each arranged to inspect a region of a different respective field or die of the object associated with the electron beam column; and
moving one or more of the electron beam columns relative to another one or more of the electron beam columns using an actuator system.
26. The method of aspect 25, comprising varying a pitch of the plurality of electron beam columns.
27. The method of aspect 25 or aspect 26, wherein the plurality of electron beam columns are arranged in a two-dimensional array and the position of the electron beam columns in a first direction and in a second direction substantially orthogonal to the first direction are varied.
28. The method of any one of the bars 25 to 27, wherein each electron beam column is capable of moving independently of the other electron beam columns.
29. The method of any one of aspects 25 to 28, wherein the plurality of electron beam columns comprises at least 30 electron beam columns.
30. The method of any of aspects 25 to 28, further comprising causing relative movement between the object and the electron beam column such that each of the electron beams inspects an area of its respective field or die that is less than an entire area of the respective field or die.
31. The method of any of aspects 25 to 30, wherein at least one of the regions comprises an identified hotspot.
32. The method of aspect 31, further comprising determining, by a computer, the presence and/or location of the hot spot.
33. The method of aspect 31 or aspect 32, further comprising identifying the hotspot by computer simulation.
34. The method of any of aspects 25 to 33, wherein the object comprises a patterning device or a semiconductor wafer.
35. The method of any of aspects 25 to 34, further comprising repairing the object or patterning device based on a parameter derived from the detected electrons from the object.
36. An electron beam inspection apparatus, the apparatus comprising:
a plurality of electron beam columns, each configured to provide an electron beam and to detect scattered electrons or secondary electrons from an object; and
an actuator system configured to move one or more of the electron beam columns relative to another one or more of the electron beam columns, the actuator system comprising a plurality of first movable structures at least partially overlapping a plurality of second movable structures, the first and second movable structures supporting the plurality of electron beam columns.
37. The device of aspect 36, wherein one or more of the first movable structures are movable relative to one or more other of the first movable structures, and/or one or more of the second movable structures are movable relative to one or more other of the second movable structures.
38. The apparatus of aspect 36 or aspect 37, wherein one of the electron beam columns is connected to a column structure having: a first part thereof physically and movably engaged with a first one of the first movable structures; and a second part thereof physically and movably engaged with a second one of the second movable structures.
39. The apparatus of aspect 38, wherein the first component is located within the first movable structure and/or the second component is located within the second movable structure.
40. The apparatus of aspect 38 or aspect 39, further comprising: a first brake configured to engage with the first movable structure so as to hold the post structure in a fixed position relative to the first movable structure; and/or a second detent configured to engage with the second movable structure so as to hold the post structure in a fixed position relative to the second movable structure.
41. The apparatus of aspect 40, comprising the first brake and the second brake, and further comprising a control system configured to: causing the first actuator to be engaged when the second actuator is disengaged to allow the second movable structure to move the electron beam column of the column structure; and such that the second actuator is engaged when the first actuator is disengaged to allow the first movable structure to move the electron beam column of the column structure.
42. The apparatus of any of aspects 36 to 41, wherein one or more electron beam columns are positioned to a side of and in a gap between adjacent first and/or second movable structures.
43. The apparatus of any of aspects 36 to 42, wherein at least one of the electron beam columns is connected to a short stroke actuator having a range of movement that is less than a range of movement of the first and second movable structures.
44. The apparatus of any of aspects 36 to 43, further comprising a plurality of sensors, each sensor configured to measure a distance to enable determination of a position of an associated electron beam column relative to an adjacent electron beam column.
45. The apparatus of any of aspects 36 to 44, wherein each electron beam column is arranged to inspect a region of a different respective field or die of the object associated with the electron beam column.
46. The apparatus of aspect 45 further comprising a non-transitory computer program product comprising machine readable instructions, at least some of the instructions configured to cause relative movement between the object and the electron beam column such that each of the electron beams inspects an area of its respective field or die that is less than an entire area of the respective field or die.
47. An electron beam inspection method, the method comprising:
having a plurality of electron beam columns, each configured to provide an electron beam and to detect scattered electrons or secondary electrons from an object;
moving one or more of the electron beam columns relative to another one or more of the electron beam columns using an actuator system, the actuator system comprising a plurality of first movable structures at least partially overlapping a plurality of second movable structures, the first and second movable structures supporting the plurality of electron beam columns;
providing the electron beam from the electron beam column onto the object; and
detecting scattered electrons or secondary electrons from the object using the electron beam column.
48. The method of aspect 47, comprising moving one or more of the first movable structures relative to one or more other of the first movable structures, and/or moving one or more of the second movable structures relative to one or more other of the second movable structures.
49. The method of aspect 47 or aspect 48, wherein an electron beam column of the electron beam columns is connected to a column structure having a first component thereof and a second component thereof, and the method further comprises moving the first component when the first component is physically engaged with a first movable structure of the first movable structures, and moving the second component when the second component is physically engaged with a second movable structure of the second movable structures.
50. The method of aspect 49, wherein the first component is located within the first movable structure and/or the second component is located within the second movable structure.
51. The method of aspect 49 or aspect 50, further comprising engaging a first detent with the first movable structure to hold the post structure in a fixed position relative to the first movable structure, and/or engaging a second detent with the second movable structure to hold the post structure in a fixed position relative to the second movable structure.
52. The method of aspect 51, comprising causing the first actuator to be engaged when the second actuator is disengaged to allow the second movable structure to move the electron beam column of the column structure, and causing the second actuator to be engaged when the first actuator is disengaged to allow the first movable structure to move the electron beam column of the column structure.
53. The method of any one of aspects 47-52, wherein one or more electron beam columns are positioned to a side of and in a gap between adjacent first and/or second movable structures.
54. The method of any one of aspects 47-53, further comprising moving at least one of the electron beam columns using a short stroke actuator having a range of movement that is less than a range of movement of the first and second movable structures.
55. The method of any of aspects 47 to 54, further comprising using a plurality of sensors, each sensor measuring a distance to enable determination of a position of an associated electron beam column relative to an adjacent electron beam column.
56. The method of any of aspects 47 to 55, wherein each electron beam column is arranged to inspect a region of a different respective field or die of the object associated with the electron beam column.
57. The method of aspect 56, further comprising causing relative movement between the object and the electron beam column such that each of the electron beams inspects an area of its respective field or die that is less than an entire area of the respective field or die.
58. The method of any one of aspects 47 to 57, wherein the object comprises a patterning device or a semiconductor wafer.
59. The method of any of aspects 47-58, further comprising repairing the object or patterning device based on a parameter derived from the detected electrons from the object.
60. A patterning device repair apparatus, comprising:
a plurality of beam columns, each beam column configured to provide a beam of radiation, each beam column arranged to repair a different respective field or region of a die of a patterning device associated with the beam column using a respective beam of radiation.
An actuator system configured to move one or more of the beam columns relative to another one or more of the beam columns.
61. The apparatus of aspect 60, wherein each of the beam columns is further configured to detect scattered electrons or secondary electrons from the patterning device.
62. The apparatus of aspect 61, wherein each beam column is arranged to examine a different respective field or region of the die associated with that beam column.
63. The apparatus of any of aspects 60-62, wherein the beam columns are each configured to provide an electron beam.
64. The apparatus of any of aspects 60 to 62, wherein the beam columns are respectively configured to provide an ion beam.
The above description is intended to be illustrative, and not restrictive. Thus, it will be apparent to one of ordinary skill in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below. For example, one or more aspects of one or more embodiments may be combined with or substituted for one or more aspects of one or more other embodiments, as appropriate. Therefore, such adaptations and modifications are intended to be within the meaning and range of equivalents of the disclosed embodiments, based on the teaching and guidance presented in this disclosure. It is to be understood that the phraseology or terminology herein is for the purpose of description by way of example and not of limitation, such that the terminology or phraseology of the present specification is to be interpreted by the skilled artisan in light of the teachings and guidance. The breadth and scope of the present invention should not be limited by any of the above-described exemplary embodiments, but should be defined only in accordance with the following claims and their equivalents.

Claims (15)

1. An electron beam inspection apparatus comprising:
a plurality of electron beam columns, each configured to provide an electron beam and to detect scattered or secondary electrons from an object, each electron beam column arranged to inspect a different respective field or area of a die associated with the electron beam column; and
an actuator system configured to move one or more of the electron beam columns relative to another one or more of the electron beam columns,
wherein the plurality of electron beam columns remain substantially stationary relative to each other during and between inspections such that relative movement between the group of the plurality of electron beam columns and the object positions the point of impact of each electron beam in parallel at a respective region in each die or field having one or more potential defect regions.
2. The electron beam inspection apparatus of claim 1, wherein the actuator system is configured to change a pitch of the plurality of electron beam columns.
3. The electron beam inspection apparatus of claim 1, wherein the plurality of electron beam columns are arranged in a two-dimensional array and the actuator system is configured to change a position of an electron beam column in a first direction and a position in a second direction orthogonal to the first direction.
4. The electron beam inspection apparatus of claim 1, wherein each electron beam column is capable of moving independently of the other electron beam columns.
5. The electron beam inspection apparatus of claim 1, wherein the plurality of electron beam columns includes at least 30 electron beam columns.
6. The electron beam inspection apparatus of claim 1, further comprising a non-transitory computer program product comprising machine readable instructions configured to cause relative movement between the object and the electron beam column.
7. The electron beam inspection apparatus of claim 1, wherein at least one of the regions includes an identified hot spot.
8. The electron beam inspection apparatus of claim 7, comprising a non-transitory computer program product comprising machine readable instructions configured to determine the presence and/or location of the hot spot.
9. The electron beam inspection apparatus of claim 7, comprising a non-transitory computer program product comprising machine readable instructions configured to identify the hot spot by simulation.
10. An electron beam inspection method, the method comprising:
having a plurality of electron beam columns, each configured to provide an electron beam and to detect scattered or secondary electrons from an object, and each arranged to inspect a region of a different respective field or die of the object associated with the electron beam column; and
by moving one or more of the electron beam columns relative to another one or more of the electron beam columns using an actuator system,
wherein the plurality of electron beam columns remain substantially stationary relative to each other during and between inspections such that relative movement between the group of the plurality of electron beam columns and the object positions the point of impact of each electron beam in parallel at a respective region in each die or field having one or more potential defect regions.
11. The method of claim 10, comprising varying a pitch of the plurality of electron beam columns.
12. The method of claim 10, wherein each electron beam column is capable of moving independently of the other electron beam columns.
13. An electron beam inspection apparatus, comprising:
a plurality of electron beam columns, each configured to provide an electron beam and to detect scattered electrons or secondary electrons from an object; and
an actuator system configured to move one or more of the electron beam columns relative to another one or more of the electron beam columns, the actuator system comprising a plurality of first movable structures at least partially overlapping a plurality of second movable structures, the first and second movable structures supporting the plurality of electron beam columns,
wherein the plurality of electron beam columns remain substantially stationary relative to each other during and between inspections such that relative movement between the group of the plurality of electron beam columns and the object positions the point of impact of each electron beam in parallel at a respective region in each die or field having one or more potential defect regions.
14. The electron beam inspection apparatus of claim 13, wherein at least one of the electron beam columns is connected to a short stroke actuator having a smaller range of movement than the first and second movable structures.
15. The electron beam inspection apparatus of claim 13, further comprising a plurality of sensors, each sensor configured to measure a distance to enable determination of a position of an associated electron beam column relative to an adjacent electron beam column.
CN201680082561.8A 2015-12-24 2016-12-09 Method and apparatus for inspection Active CN108701576B (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP15202676.1 2015-12-24
EP15202676 2015-12-24
EP16166550 2016-04-22
EP16166550.0 2016-04-22
PCT/EP2016/080374 WO2017108444A1 (en) 2015-12-24 2016-12-09 Method and apparatus for inspection

Publications (2)

Publication Number Publication Date
CN108701576A CN108701576A (en) 2018-10-23
CN108701576B true CN108701576B (en) 2021-06-15

Family

ID=57485522

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680082561.8A Active CN108701576B (en) 2015-12-24 2016-12-09 Method and apparatus for inspection

Country Status (6)

Country Link
US (3) US11094502B2 (en)
JP (1) JP6880032B2 (en)
KR (1) KR102182011B1 (en)
CN (1) CN108701576B (en)
TW (1) TWI630636B (en)
WO (1) WO2017108444A1 (en)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11126092B2 (en) * 2015-11-13 2021-09-21 Asml Netherlands B.V. Methods for determining an approximate value of a processing parameter at which a characteristic of the patterning process has a target value
US10395358B2 (en) * 2016-11-10 2019-08-27 Kla-Tencor Corp. High sensitivity repeater defect detection
WO2019158448A1 (en) * 2018-02-14 2019-08-22 Asml Netherlands B.V. Substrate positioning device and electron beam inspection tool
US11687008B2 (en) * 2018-02-22 2023-06-27 Applied Materials, Inc. Method for automated critical dimension measurement on a substrate for display manufacturing, method of inspecting a large area substrate for display manufacturing, apparatus for inspecting a large area substrate for display manufacturing and method of operating thereof
US11287748B2 (en) 2018-02-23 2022-03-29 Asml Netherlands B.V. Guided patterning device inspection
US10818001B2 (en) * 2018-09-07 2020-10-27 Kla-Tencor Corporation Using stochastic failure metrics in semiconductor manufacturing
US11476144B2 (en) 2018-12-03 2022-10-18 Kla Corporation Single cell in-die metrology targets and measurement methods
EP3915130A4 (en) * 2019-10-30 2022-11-02 Yangtze Memory Technologies Co., Ltd. Method for calibrating verticality of particle beam and system applied to semiconductor fabrication process
US11899375B2 (en) * 2020-11-20 2024-02-13 Kla Corporation Massive overlay metrology sampling with multiple measurement columns
DE102021116969B3 (en) 2021-07-01 2022-09-22 Carl Zeiss Multisem Gmbh Method for region-wise sample inspection using a multi-beam particle microscope, computer program product and multi-beam particle microscope for semiconductor sample inspection
EP4123683A1 (en) 2021-07-20 2023-01-25 ASML Netherlands B.V. Data processing device and method, charged particle assessment system and method
CN114509923B (en) * 2022-01-28 2023-11-24 复旦大学 Focusing and leveling device in deep ultraviolet objective lens design and application thereof

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6145438A (en) * 1998-03-20 2000-11-14 Berglund; C. Neil Method and apparatus for direct writing of semiconductor die using microcolumn array
US6465783B1 (en) * 1999-06-24 2002-10-15 Nikon Corporation High-throughput specimen-inspection apparatus and methods utilizing multiple parallel charged particle beams and an array of multiple secondary-electron-detectors
JP2001308154A (en) 2000-04-24 2001-11-02 Nikon Corp Electron beam inspection device, electron beam inspecting method and method for manufacturing device
AU2001239801A1 (en) * 2000-02-19 2001-08-27 Ion Diagnostics, Inc. Multi-beam multi-column electron beam inspection system
US6593152B2 (en) * 2000-11-02 2003-07-15 Ebara Corporation Electron beam apparatus and method of manufacturing semiconductor device using the apparatus
US7504182B2 (en) 2002-09-18 2009-03-17 Fei Company Photolithography mask repair
US7928404B2 (en) 2003-10-07 2011-04-19 Multibeam Corporation Variable-ratio double-deflection beam blanker
US7617477B2 (en) 2005-09-09 2009-11-10 Brion Technologies, Inc. Method for selecting and optimizing exposure tool using an individual mask error model
TWI524153B (en) 2005-09-15 2016-03-01 瑪波微影Ip公司 Lithography system, sensor and measuring method
JP4851253B2 (en) * 2006-07-05 2012-01-11 株式会社ニューフレアテクノロジー Drawing apparatus and error detection method in drawing apparatus
DE102006043874B4 (en) 2006-09-15 2020-07-09 Carl Zeiss Smt Gmbh Method and device for repairing photolithography masks
NL1036189A1 (en) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
WO2009152046A1 (en) * 2008-06-11 2009-12-17 Kla-Tencor Corporation Systems and methods for detecting design and process defects on a wafer, reviewing defects on a wafer, selecting one or more features within a design for use as process monitoring features, or some combination thereof
US8106358B2 (en) * 2009-03-04 2012-01-31 Agilent Technologies, Inc. Layered scanning charged particle microscope with differential pumping aperture
JP5572218B2 (en) * 2009-10-13 2014-08-13 エーエスエムエル ネザーランズ ビー.ブイ. Inspection method and apparatus
NL2009666A (en) * 2011-11-22 2013-05-23 Asml Netherlands Bv Reticle assembly, a lithographic apparatus, the use in a lithographic process, and a method to project two or more image fields in a single scanning movement of a lithographic process.
KR101914231B1 (en) 2012-05-30 2018-11-02 삼성디스플레이 주식회사 Inspection system using scanning electron microscope
US9778186B2 (en) 2014-04-15 2017-10-03 Kla-Tencor Corporation System for electron beam detection
CN106463434B (en) 2014-06-10 2020-12-22 Asml荷兰有限公司 Computational wafer inspection
WO2016032549A1 (en) * 2014-08-31 2016-03-03 Keysight Technologies, Inc. Imaging apparatus having a plurality of movable beam columns, and method of inspecting a plurality of regions of a substrate intended to be substantially identical

Also Published As

Publication number Publication date
JP6880032B2 (en) 2021-06-02
TWI630636B (en) 2018-07-21
US11875966B2 (en) 2024-01-16
JP2019502952A (en) 2019-01-31
US20190006147A1 (en) 2019-01-03
US20210375581A1 (en) 2021-12-02
US11094502B2 (en) 2021-08-17
WO2017108444A1 (en) 2017-06-29
TW201732858A (en) 2017-09-16
US20240186107A1 (en) 2024-06-06
CN108701576A (en) 2018-10-23
KR20180098340A (en) 2018-09-03
KR102182011B1 (en) 2020-11-24

Similar Documents

Publication Publication Date Title
CN108701576B (en) Method and apparatus for inspection
JP6641422B2 (en) How to optimize the process window
CN110709779B (en) Measuring method and apparatus
US10133191B2 (en) Method for determining a process window for a lithographic process, associated apparatuses and a computer program
CN106463434B (en) Computational wafer inspection
TWI782245B (en) A method for training a machine learning model of a patterning process and coumputer program product
CN108139686B (en) Indirect determination of processing parameters
KR102469136B1 (en) Lithographic process and apparatus and inspection process and apparatus
US10663870B2 (en) Gauge pattern selection
KR102585099B1 (en) Measurement methods and devices
WO2020064544A1 (en) Determining hot spot ranking based on wafer measurement
TW201921179A (en) Beat patterns for alignment on small metrology targets
US11131936B2 (en) Method of measuring variation, inspection system, computer program, and computer system

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant