CN107693010A - 基于可编程逻辑平台fpga的qrs波检测*** - Google Patents

基于可编程逻辑平台fpga的qrs波检测*** Download PDF

Info

Publication number
CN107693010A
CN107693010A CN201710824068.5A CN201710824068A CN107693010A CN 107693010 A CN107693010 A CN 107693010A CN 201710824068 A CN201710824068 A CN 201710824068A CN 107693010 A CN107693010 A CN 107693010A
Authority
CN
China
Prior art keywords
module
ripples
fpga
peak
qrs wave
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201710824068.5A
Other languages
English (en)
Inventor
吴嘉磊
余剑
周迎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nanjing University of Science and Technology
Original Assignee
Nanjing University of Science and Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nanjing University of Science and Technology filed Critical Nanjing University of Science and Technology
Priority to CN201710824068.5A priority Critical patent/CN107693010A/zh
Publication of CN107693010A publication Critical patent/CN107693010A/zh
Pending legal-status Critical Current

Links

Classifications

    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B5/00Measuring for diagnostic purposes; Identification of persons
    • A61B5/24Detecting, measuring or recording bioelectric or biomagnetic signals of the body or parts thereof
    • A61B5/316Modalities, i.e. specific diagnostic methods
    • A61B5/318Heart-related electrical modalities, e.g. electrocardiography [ECG]
    • A61B5/346Analysis of electrocardiograms
    • A61B5/349Detecting specific parameters of the electrocardiograph cycle
    • A61B5/366Detecting abnormal QRS complex, e.g. widening
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B5/00Measuring for diagnostic purposes; Identification of persons
    • A61B5/24Detecting, measuring or recording bioelectric or biomagnetic signals of the body or parts thereof
    • A61B5/316Modalities, i.e. specific diagnostic methods
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B5/00Measuring for diagnostic purposes; Identification of persons
    • A61B5/72Signal processing specially adapted for physiological signals or for diagnostic purposes
    • A61B5/7203Signal processing specially adapted for physiological signals or for diagnostic purposes for noise prevention, reduction or removal
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/94Hardware or software architectures specially adapted for image or video understanding
    • G06V10/955Hardware or software architectures specially adapted for image or video understanding using specific electronic processors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2218/00Aspects of pattern recognition specially adapted for signal processing
    • G06F2218/02Preprocessing
    • G06F2218/04Denoising
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2218/00Aspects of pattern recognition specially adapted for signal processing
    • G06F2218/08Feature extraction
    • G06F2218/10Feature extraction by analysing the shape of a waveform, e.g. extracting parameters relating to peaks

Landscapes

  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Public Health (AREA)
  • Surgery (AREA)
  • Cardiology (AREA)
  • Veterinary Medicine (AREA)
  • General Health & Medical Sciences (AREA)
  • Biophysics (AREA)
  • Pathology (AREA)
  • Biomedical Technology (AREA)
  • Heart & Thoracic Surgery (AREA)
  • Medical Informatics (AREA)
  • Molecular Biology (AREA)
  • Animal Behavior & Ethology (AREA)
  • Signal Processing (AREA)
  • Physiology (AREA)
  • Artificial Intelligence (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Software Systems (AREA)
  • General Physics & Mathematics (AREA)
  • Multimedia (AREA)
  • Theoretical Computer Science (AREA)
  • Psychiatry (AREA)
  • Measurement And Recording Of Electrical Phenomena And Electrical Characteristics Of The Living Body (AREA)

Abstract

本发明公开了一种基于可编程逻辑平台FPGA的QRS波检测***,由三部分组成:硬件通信模块、数据预处理模块、QRS波判定模块;在ECG心电信号检测出QRS波的过程中,首先要经过硬件通信模块的分频和数据收发,然后再依次进行带通滤波、微分、平方、积分完成数据预处理,最后将处理后的数据进行QRS波判定,经过峰值检测与峰值间隔测定后的心电信号在进入R‑T波区分检测模块,在R波被成功检测的同时也要存入动态阈值模块,由动态阈值模块计算得出相应阈值传输到峰值检测与峰值间隔模块进行下一次峰值判定。本发明的***基于可编程逻辑平台FPGA编程实现了ECG心电信号QRS波的检测。

Description

基于可编程逻辑平台FPGA的QRS波检测***
技术领域
本发明属于及ECG心电信号检测技术领域,具体是一种基于可编程逻辑平台FPGA的QRS波检测***。
背景技术
在全球范围内,冠心病等心血管疾病是头号死因,而心电图检测是治疗心血管疾病最有效的方法。心脏会发生除极和复极的生物电变化,而心脏细胞除极和复极过程中产生的电位之差形成了心电信号波形。正常心电波形的一个周期包括P波、QRS波群和T波三个主要的特征波。各波形间的间期有P-R间期、S-T段、Q-T间期,它们可以反映心脏的传导***和心脏本身是否发生病变。在心电图的检测中,QRS波群特征最明显,因此在心电信号特征检测中首先提取QRS波,而R点是QRS波的峰值点,所以在提取QRS波群之前需要先定位R点的位置,再去分析Q波、S波等其他问题。因此说,QRS波的检测技术是心电检测和心电治疗的关键。
JIAPU PAN 和WILLIS J. TOMPKINS在知名学术会议IEEE发表了一篇论文《AReal-Time QRS Detection Algorithm》,提出了用于检测ECG心电信号的QRS复合波的实时算法。它基于斜率,幅度和宽度的数字分析可靠地识别QRS复合波,并且使用特殊滤波器减少了由ECG信号中存在的各种类型的干扰和噪声,滤波使用低阈值,增加了检测灵敏度。除此之外,算法周期性地自动调整阈值和参数以适应QRS形态和心率等ECG变化。可以说,这篇论文的发表是以后QRS波的检测技术发展的重要基础,对于QRS波检测具有非常重大的意义。
但JIAPU PAN 和WILLIS J. TOMPKINS提出的QRS波检测算法实现已不被现代工艺社会的技术和科技所适应与支持。主要有以下两个原因:
1. JIAPU PAN 和WILLIS J. TOMPKINS提出的QRS波检测方案是基于汇编语言设计与实现,而汇编语言早已在上个世纪就退出了历史的舞台,编程语言现在处于高级语言时代,汇编语言和高级语言运行的环境、风格、可读性几乎完全不同,汇编语言已不被现有的软硬件开发测试平台所支持;
2. JIAPU PAN 和WILLIS J. TOMPKINS提出的QRS波检测方案在Z80(Zilog)或NSC800(美国国家半导体)微处理器上运行。计算机领域发展及其迅猛,早已进入了日新月异的时代。处理器也早已从当初的微处理器经历了***式发展到现在的多核众核时代,尤其是现在,可编程逻辑平台FPGA逐渐成为硬件开发的主流和趋势。FPGA利用硬件并行的优势,并且打破了顺序执行的模式,在每个时钟周期内完成更多的处理任务,同时保持了很高的稳定性和低成本。微处理器对比于FPGA,在性能比较上已经完全处于不同的量级,技术总是向前发展和进步的,现有技术的发展正是源于对以往技术缺点的改进,基于微处理器设计从当前技术的角度很容易发现其存在着很多笨拙、繁冗的设计方法和对计算资源使用的浪费的情况,不再被适用和支持。
发明内容
本发明的目的在于提供一种基于硬件可编程逻辑平台FPGA的QRS波检测***。该***能够很好的过滤消除ECG心电信号中的噪声,通过运用一种QRS波判定算法,合理准确的检测出心电信号中的QRS波。
实现本发明目的的技术解决方案为:一种基于可编程逻辑平台FPGA的QRS波检测***,包括硬件通信模块、数据预处理模块、QRS波判定模块,QRS波判定模块包括峰值检测与峰值间隔测定模块、R-T波区分检测模块和动态阈值判定模块;其中:
硬件通信模块与数据预处理模块相连,通过分频和通信协议,将PC端原始ECG心电信号传输到FPGA开发板的进行数据处理的数据预处理模块;
数据预处理模块与峰值检测与峰值间隔测定模块相连,数据预处理模块对ECG心电信号进行带通滤波,通过微分进一步抑制噪声信号,然后平方增大ECG心电信号幅频响应,最后经过移动窗口集成积分传输到峰值检测与峰值间隔测定模块;
峰值检测与峰值间隔测定模块与R-T波区分检测模块相连,将处理过的心电信号提取出峰值,将峰值传输到R-T波区分检测模块;
R-T波区分检测模块与动态阈值判定模块相连,R-T波区分检测模块对峰值进行判断,去除T波后得到R波,从而确定QRS波的特征信息;同时,将检测得到的R波传输到动态阈值判定模块;
动态阈值判定模块连接峰值检测与峰值间隔测定模块,根据最近连续检测到的R波计算阈值,并将阈值传输到峰值检测与峰值间隔测定模块进行峰值提取。
本发明与现有技术相比,其显著优点:(1)使用目前主流的硬件开发语言Verilog语言进行***所有模块的设计,代码可读性强,易于维护与再设计,也应用于绝大部分硬件开发平台;
(2)运行于可编程逻辑平台FPGA开发板,调用FPGA内部已经封装好的模块简化了自身的设计,缩减了***开发时间,充分的利用了计算资源,利用了FPGA平台的高性能和并行性也显著提高了算法的效率,加快了ECG心电信号的处理速度,同时也提升了QRS波检测的准确性。
附图说明
附图是本发明基于可编程逻辑平台FPGA的QRS波检测***流程图。
具体实施方式
结合附图,本发明基于可编程逻辑平台的FPGA的QRS检测***有三部分组成:硬件通信模块、数据预处理模块、QRS波判定模块。如图1所示,在ECG心电信号检测出QRS波的过程中,首先要经过硬件通信模块的分频和数据收发,然后再依次进行带通滤波、微分、平方、积分完成数据预处理,最后将处理后的数据进行QRS波判定,经过峰值检测与峰值间隔测定后的心电信号在进入R-T波区分检测模块,在R波被成功检测的同时也要存入动态阈值模块,由动态阈值模块计算得出相应阈值传输到峰值检测与峰值间隔模块进行下一次峰值判定。
硬件通信模块实现了将PC端的未经处理的心电信号发送到可编程逻辑FPGA开发板上,利用可编程逻辑平台来对数据进行处理,同时也支持开发板向PC端数据的传送,并且采用RS232数据传送协议。硬件通信模块由两部分组成:分频模块、数据发送接收模块。
分频模块将FPGA开发板默认频率分频为串口通信的波特率的16倍,开发板时钟每跳动16个周期对应串口传输过来的1位数据,16周期对应1位的方式保证了硬件通信模块接受和发送的数据的准确。
数据发送和接受模块数据接受时,由于FPGA开发板的每16个时钟周期对应传输数据的一位,在第八个时钟周期对数据进行提取,同时设计了3个寄存器同步消除异步传输的不确定性。检测到数据接受信号为低电平,代表一个字节的传送开始,后续将依次传送8位数据、1个奇偶校验位和1个停止位。此时启动一个以10为周期的计数器。以10为周期的计数器递进的标志,当计数到9时,计数终止,计数器清0,一个字节的数据接收完毕。接受数据从低位到高位传递,所以向右移位。数据发送时当数据发送信号有效时触发一个发送过程。发送时依次发送起始位、8位数据、1个奇偶校验位和1个停止位,总共是11位。因此,数据发送信号有效会触发了一个以11为周期的计数器,每计数到一个数以后发送相应的位信息。在发送完毕后,计数器清0。
数据预处理模块将传输到FPGA开发板上的数据进行数据预处理在进行QRS波判定。数据预处理模块由四个部分组成:带通滤波模块、微分模块、平方模块、移动窗口集成模块。
带通滤波模块为了衰减噪声、降低基线漂移和T波干扰的影响,信号通过带通滤波器进行过滤。由于QRS出现的波段频率位于5-12HZ的范围,故带通滤波器的设计的参数中,两个阈值参数为5HZ和15HZ。采用matlab的fdatool工具设计fir滤波器参数然后在Vivado中调用fir滤波器IP核实现带通滤波器设计。
微分模块使用微分滤波器计算进一步抑制噪声信号,并且给出关于信号的重要的斜率信息,突出了QRS波群和噪声之间的差异。信号通过设计的微分器时,QRS复合波显示其斜率值的最大变化。
平方模块将微分模块的输出作为输入然后进行平方计算。非线性地增强QRS复合波,进一步衰减了噪声信号,增大了幅频响应曲线。
移动窗口集成模块采用积分器实现,获得除了R波之外的波形特征信息。
QRS波判定模块对数据预处理模块输出的ECG心电信号进行QRS波判定。采用设计的算法是通过检测ECG心电信号中的峰值,然后再判断得到的峰值是R波还是T波。其中,在检测ECG心电信号中的峰值的过程中,检查信号中同时大于前面后面的信号的数据,并且根据所设定的动态阈值,检测出的峰值必须大于阈值,才能被确认为峰值。而且阈值为动态测定既保证了QRS波检测的准确性又保证了数据的实时检测。QRS波判定模块由三个部分组成:峰值检测与峰值间隔测定模块、R-T波区分检测模块、动态阈值判定模块。
峰值检测与峰值间隔测定模块在峰值检测时对于处理数据采用峰值检测的常规判定思路,即同时满足大于前后采集点的数据。为防止出现检测出的所有波峰都被判定为ECG信号波峰,设定阈值,判定出的所有波峰必须大于该阈值才能被认定为是ECG信号波峰。在峰值间隔测定时,R-R间隔即被检测出的QRS波波峰之间的间隔,在峰值检测的基础上,使用与输入数据存储大小相等的存储器,若为峰值,则记录对应的地址,否则不记录。通过检查存储器对应地址的数据是否记录判定是否为出现峰值的地址,从轻易的得出出现峰值之间的间隔。
R波与T波的区分判定模块依据出现的峰值之间的间隔。当检测到QRS波后200ms范围内峰值不被判定为R波;当前峰值与前一个出现的R波间隔在200-360ms范围内时,当前峰值位置处的斜率大于最相邻出现的R波位置处斜率的一半时则判定为R波,否则为T波。峰值中被判定为R波的位置将被记录下来,用于后面的计算。
动态阈值计算模块为峰值检测与峰值间隔测定模块提供判定峰值的阈值,该阈值由检测到的R波峰值动态变化计算得到。设计定义了大小为4的FIFO存储器数组,每次将最新检测到的4个R波峰值存入数组,然后存储器数组内部进行排序,最后取中位数(即为排序后第二大和第三大峰值的平均值)确定为峰值检测与峰值间隔测定模块下一次进行计算的阈值。

Claims (5)

1.一种基于可编程逻辑平台FPGA的QRS波检测***,其特征在于:包括硬件通信模块、数据预处理模块、QRS波判定模块,QRS波判定模块包括峰值检测与峰值间隔测定模块、R-T波区分检测模块和动态阈值判定模块;其中:
硬件通信模块与数据预处理模块相连,通过分频和通信协议,将PC端原始ECG心电信号传输到FPGA开发板的进行数据处理的数据预处理模块;
数据预处理模块与峰值检测与峰值间隔测定模块相连,数据预处理模块对ECG心电信号进行带通滤波,通过微分进一步抑制噪声信号,然后平方增大ECG心电信号幅频响应,最后经过移动窗口集成积分传输到峰值检测与峰值间隔测定模块;
峰值检测与峰值间隔测定模块与R-T波区分检测模块相连,将处理过的心电信号提取出峰值,将峰值传输到R-T波区分检测模块;
R-T波区分检测模块与动态阈值判定模块相连,R-T波区分检测模块对峰值进行判断,去除T波后得到R波,从而确定QRS波的特征信息;同时,将检测得到的R波传输到动态阈值判定模块;
动态阈值判定模块连接峰值检测与峰值间隔测定模块,根据最近连续检测到的R波计算阈值,并将阈值传输到峰值检测与峰值间隔测定模块进行峰值提取。
2.根据权利要求1所述的基于可编程逻辑平台FPGA的QRS波检测***,其特征在于:所述数据预处理模块进行带通滤波时,设定的滤波通过范围为5-15HZ,对于低于5HZ高于15HZ的ECG数据均被过滤掉,然后将过滤后的数据进行微分。
3.根据权利要求1所述的基于可编程逻辑平台FPGA的QRS波检测***,其特征在于:所述将处理过的心电信号提取出峰值的方法为,首先比较出处理过的心电信号中的最大值,该最大值和阈值比较,如果仍为较大值,则该最大值为峰值。
4.根据权利要求1所述的基于可编程逻辑平台FPGA的QRS波检测***,其特征在于:所述R-T波区分检测模块对峰值的判断方法为:根据QRS波的特征确定初始的R波,被检测峰值与最相邻已确定R波处间隔为200ms范围内时,不被确认为R波;被检测峰值与上一已确定R波间隔在200-360ms范围内,当被检测峰值位置处的斜率大于最相邻已确定R波位置处斜率的一半时则判定为R波,否则为T波;其他情况皆被判定为R波。
5.根据权利要求1所述的基于可编程逻辑平台FPGA的QRS波检测***,其特征在于:所述动态阈值判定模块内设置大小恒为4的FIFO存储器数组,每次将最新检测到的4个R波峰值存入该数组,然后存储器数组内部进行排序,最后取中位数即排序后第二大和第三大峰值的平均值,将其输出到峰值检测与峰值间隔测定模块作为下一次进行峰值计算的阈值。
CN201710824068.5A 2017-09-13 2017-09-13 基于可编程逻辑平台fpga的qrs波检测*** Pending CN107693010A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710824068.5A CN107693010A (zh) 2017-09-13 2017-09-13 基于可编程逻辑平台fpga的qrs波检测***

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201710824068.5A CN107693010A (zh) 2017-09-13 2017-09-13 基于可编程逻辑平台fpga的qrs波检测***

Publications (1)

Publication Number Publication Date
CN107693010A true CN107693010A (zh) 2018-02-16

Family

ID=61171619

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710824068.5A Pending CN107693010A (zh) 2017-09-13 2017-09-13 基于可编程逻辑平台fpga的qrs波检测***

Country Status (1)

Country Link
CN (1) CN107693010A (zh)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108460350A (zh) * 2018-02-25 2018-08-28 柏塔科技(深圳)有限公司 一种自学习ecg qrs波检测装置及其自学习方法
CN108814590A (zh) * 2018-03-23 2018-11-16 江苏华康信息技术有限公司 一种心电qrs波群的检测方法及其心电分析方法
CN108814591A (zh) * 2018-03-23 2018-11-16 南京大学 一种心电qrs波群宽度的检测方法及其心电分析方法
CN109199375A (zh) * 2018-11-30 2019-01-15 东南大学 一种无创胎儿心电检测装置及心电信号数据处理方法
CN109540806A (zh) * 2018-10-16 2019-03-29 华中科技大学 一种利用动态阈值重心法提取共焦显微峰值的方法
CN109602414A (zh) * 2018-11-12 2019-04-12 安徽心之声医疗科技有限公司 一种多视角转换的心电信号数据增强方法
CN110623662A (zh) * 2019-08-30 2019-12-31 电子科技大学 一种适用于心电信号检测的自适应阈值迭代算法
CN111345812A (zh) * 2018-12-24 2020-06-30 Zoll医疗公司 R波检测方法和设备、计算机可读存储介质
CN111513706A (zh) * 2020-04-20 2020-08-11 重庆邮电大学 一种针对含有异常r波的心电信号的检测方法和装置
CN115399785A (zh) * 2022-08-30 2022-11-29 洲瓴(上海)医疗器械有限公司 一种心电信号的r波识别***

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102485172A (zh) * 2010-12-01 2012-06-06 通用电气公司 T波的峰值点方法检测方法和***以及心电监控***
CN104203091A (zh) * 2012-03-12 2014-12-10 德克萨斯仪器股份有限公司 使用自适应阈值的实时qrs检测
CN104586384A (zh) * 2013-10-30 2015-05-06 深圳华清心仪医疗电子有限公司 一种心电信号实时心率检测方法及***
CN105078446A (zh) * 2014-08-25 2015-11-25 深圳迈瑞生物医疗电子股份有限公司 一种qrs波检测方法以及装置
CN105496400A (zh) * 2016-03-01 2016-04-20 张胜国 便捷式多导联无线心电监测设备及方法
CN105997042A (zh) * 2016-04-28 2016-10-12 江苏盖睿健康科技有限公司 一种防止高尖t波被误识别的心率值获取方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102485172A (zh) * 2010-12-01 2012-06-06 通用电气公司 T波的峰值点方法检测方法和***以及心电监控***
CN104203091A (zh) * 2012-03-12 2014-12-10 德克萨斯仪器股份有限公司 使用自适应阈值的实时qrs检测
CN104586384A (zh) * 2013-10-30 2015-05-06 深圳华清心仪医疗电子有限公司 一种心电信号实时心率检测方法及***
CN105078446A (zh) * 2014-08-25 2015-11-25 深圳迈瑞生物医疗电子股份有限公司 一种qrs波检测方法以及装置
CN105496400A (zh) * 2016-03-01 2016-04-20 张胜国 便捷式多导联无线心电监测设备及方法
CN105997042A (zh) * 2016-04-28 2016-10-12 江苏盖睿健康科技有限公司 一种防止高尖t波被误识别的心率值获取方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
王大雄 等: "《T波检测和QT间期提取策略》", 《中国生物医学工程学报》 *
赵弈欧: "《一种改进的差分阈值心电检测算法》", 《计算机工程》 *

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108460350A (zh) * 2018-02-25 2018-08-28 柏塔科技(深圳)有限公司 一种自学习ecg qrs波检测装置及其自学习方法
CN108460350B (zh) * 2018-02-25 2021-10-08 柏塔科技(深圳)有限公司 一种自学习ecg qrs波检测装置及其自学习方法
CN108814590B (zh) * 2018-03-23 2021-01-12 江苏华康信息技术有限公司 一种心电qrs波群的检测方法及其心电分析方法
CN108814590A (zh) * 2018-03-23 2018-11-16 江苏华康信息技术有限公司 一种心电qrs波群的检测方法及其心电分析方法
CN108814591A (zh) * 2018-03-23 2018-11-16 南京大学 一种心电qrs波群宽度的检测方法及其心电分析方法
CN108814591B (zh) * 2018-03-23 2020-12-15 南京大学 一种心电qrs波群宽度的检测方法及其心电分析方法
CN109540806A (zh) * 2018-10-16 2019-03-29 华中科技大学 一种利用动态阈值重心法提取共焦显微峰值的方法
CN109540806B (zh) * 2018-10-16 2019-11-05 华中科技大学 一种利用动态阈值重心法提取共焦显微峰值的方法
CN109602414A (zh) * 2018-11-12 2019-04-12 安徽心之声医疗科技有限公司 一种多视角转换的心电信号数据增强方法
CN109199375A (zh) * 2018-11-30 2019-01-15 东南大学 一种无创胎儿心电检测装置及心电信号数据处理方法
CN109199375B (zh) * 2018-11-30 2021-11-02 东南大学 一种无创胎儿心电检测装置及心电信号数据处理方法
CN111345812A (zh) * 2018-12-24 2020-06-30 Zoll医疗公司 R波检测方法和设备、计算机可读存储介质
CN110623662B (zh) * 2019-08-30 2021-06-01 电子科技大学 一种适用于心电信号检测的自适应阈值迭代算法
CN110623662A (zh) * 2019-08-30 2019-12-31 电子科技大学 一种适用于心电信号检测的自适应阈值迭代算法
CN111513706A (zh) * 2020-04-20 2020-08-11 重庆邮电大学 一种针对含有异常r波的心电信号的检测方法和装置
CN115399785A (zh) * 2022-08-30 2022-11-29 洲瓴(上海)医疗器械有限公司 一种心电信号的r波识别***

Similar Documents

Publication Publication Date Title
CN107693010A (zh) 基于可编程逻辑平台fpga的qrs波检测***
CN101828918B (zh) 基于波形特征匹配的心电信号r波峰检测方法
CN103654770B (zh) 移动心电信号qrs波实时波检测方法及装置
US20150342489A1 (en) Qrs complex identification in electrocardiogram signals
CN104913355B (zh) 吸油烟机的噪音处理***、方法及装置
CN103110417A (zh) 一种心电图自动识别***
CN110742599B (zh) 一种心电信号特征提取分类方法及***
CN102319064A (zh) 一种提高胎心率数据减速识别准确性的装置和方法
CN101856225A (zh) 一种心电信号r波峰检测方法
CN102455212B (zh) 基于dsp的旋转机械振动检测及保护***
CN105468951A (zh) 通过心电特征进行身份识别的方法及装置、可穿戴设备
CN105212922A (zh) 面向fpga实现心电信号r波自动检测的方法及***
CN103006207A (zh) 基于形态学的t波交替散点图法的心电信号分析方法
CN104343440B (zh) 泥浆压力脉冲信号的检测方法及***
CN102028459A (zh) 一种心电图机通道起搏信号检测方法
CN102217932B (zh) 一种abr信号波峰检测的算法
CN104644160A (zh) 心电图伪差信号识别方法及装置
CN105071830A (zh) 一种直扩信号的检测识别方法
CN107361763B (zh) 一种心电图数据r波检测方法及装置
CN107569227A (zh) 一种运动状态下心率的处理方法和监测装置
CN115061203A (zh) 一种基于频域奇异值分解的矿山单通道微震信号降噪方法及应用
CN109009087A (zh) 一种心电信号r波的快速检测方法
CN111180071A (zh) 高危型hpv型别和***前病变阶段关系的计算方法及装置
CN104352234A (zh) 一种生理电信号尖峰奇异点检测方法
Zairi et al. Intelligent system for detecting cardiac arrhythmia on FPGA

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication

Application publication date: 20180216

RJ01 Rejection of invention patent application after publication