CN107004060B - 用于半导体制造工艺的经改进工艺控制技术 - Google Patents

用于半导体制造工艺的经改进工艺控制技术 Download PDF

Info

Publication number
CN107004060B
CN107004060B CN201580067927.XA CN201580067927A CN107004060B CN 107004060 B CN107004060 B CN 107004060B CN 201580067927 A CN201580067927 A CN 201580067927A CN 107004060 B CN107004060 B CN 107004060B
Authority
CN
China
Prior art keywords
overlay
input data
overlay measurement
measurements
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201580067927.XA
Other languages
English (en)
Other versions
CN107004060A (zh
Inventor
J·D·大卫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Pdf Decision Co
Original Assignee
Pdf Decision Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Pdf Decision Co filed Critical Pdf Decision Co
Publication of CN107004060A publication Critical patent/CN107004060A/zh
Application granted granted Critical
Publication of CN107004060B publication Critical patent/CN107004060B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N7/00Computing arrangements based on specific mathematical models
    • G06N7/01Probabilistic graphical models, e.g. probabilistic networks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • Mathematical Physics (AREA)
  • Evolutionary Computation (AREA)
  • Data Mining & Analysis (AREA)
  • Artificial Intelligence (AREA)
  • Computing Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Medical Informatics (AREA)
  • Probability & Statistics with Applications (AREA)
  • Algebra (AREA)
  • Computational Mathematics (AREA)
  • Mathematical Analysis (AREA)
  • Mathematical Optimization (AREA)
  • Pure & Applied Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

用于半导体制造工艺的经改进工艺控制技术提供了用于测量和/或补偿工艺变化的技术。对大量输入数据(包括上游数据)集使用机器学习算法,以组织并预处理所述输入数据并且使所述输入数据与感兴趣的特定特征相关。然后,可使用所述相关性来进行工艺调节。所述技术可适用于所述半导体制造工艺的任何特征或步骤,例如叠对、临界尺寸和合格率预测。

Description

用于半导体制造工艺的经改进工艺控制技术
交叉引用
本申请主张2014年11月25日提交的标题为“System and Methods for OverlayError Compensation,Measurements,and Lithography Apparatus Control”的美国专利申请号62/084,551、2014年12月14日提交的标题为“System and Methods for YieldPrediction,Test Optimization,and Burn-In Optimization”的美国专利申请号62/091,567和2015年1月15日提交的标题为“System and Methods for Using Algorithms forSemiconductor Manufacturing”的美国申请专利号62/103,946的优先权,所述专利申请中的每一者均通过引用被全部并入本文。
技术领域
本公开总体涉及半导体制造工艺,且更具体地涉及用于光刻法、合格率预测和半导体制造工艺的其它方面的经改进的工艺控制技术。
背景技术
半导体制造工业以复杂和苛求的业务著称,且其继续随着设备架构和工艺技术中的较大变化而发展。传统上,半导体工业以复杂的高科技设备、高度工厂自动化和在资本投资和维护费用中花费数十亿美元的超净制造设施为特征。
几十年来,半导体制造由摩尔定律和平面晶体管架构推动。这为晶体管成本调整和轮廓清楚的界面提供可预测的、自我支持的蓝图,其中每一个别工艺/层可独立地遵循其自己的技术轨迹。然而,当工业扩展以提供亚20nm节点和其它流行的设备架构(例如MEMS)时,需要新的工艺,且用于半导体制造的新方法被探究和实现。
对于亚20nm节点,需要全新的设备架构。并行地,在物联网(IoT)的快速增长正推动着MEMS市场。这些变化对工业提出困难和空前的挑战,通常导致较低的制造合格率。
为了使用这些新架构来实现可接受的合格率和设备性能水平,必须实现非常严格的工艺规范。因此,现在比以往越发需要更好的工艺控制和集成方案。
所述工业的特定的当前挑战的一个示例是用于亚20nm节点制造的光刻工艺。EUV光刻技术是已知的,但尚未广泛用于生产,且因此193nm浸没式光刻必须经由多图案化方案扩展其能力,这增加了掩模和工艺步骤,且因此是复杂和昂贵的。
各种工艺也需要更复杂的集成,且因此可以不再被彼此独立开发。例如,finFET和3-D NAND的三维架构以及在对应的工艺步骤之间的复杂关系已经改变了工艺可变性可能影响设备性能和合格率的方式。作为示例,许多半导体制造商正经历其finFET线上的较低合格率,且对增加合格率的需要是急迫的。在存储器空间中,3-D NAND已经变为占优势的架构,且工艺控制是3-D NAND工艺层的关键问题。IoT空间越来越多地被“超越摩尔”趋势占主要地位,其中设备并入有未必按摩尔定律的技术。这个增长的市场空间由多样化的和特定的工艺推动,且对在实现制造解决方案时改进合格率并降低制造成本的新方式的需要是必要的。
发明内容
用于测量和/或补偿半导体制造工艺中的工艺变化的工艺控制技术包括将实时输入接收到叠对测量模型中。这些输入包括光刻工艺输入和光刻之前的上游工艺输入。叠对测量模型被配置成分析输入数据以确定输入数据与目标叠对测量的多变量关系。使用叠对测量模型评估多变量关系从而产生在用于当前生产运行的光刻工艺中的目标叠对测量的预测。然后可以调节光刻工艺或上游工艺,使得目标叠对测量的预测与实际的目标叠对测量相关。
附图说明
图1是示出用于制造半导体设备的工艺的流程图。
图2是示出在图1的工艺的不同步骤之间的关系及其对工艺变化和产品性能的累积影响的方框图。
图3A是在设备的两个不同层中形成的没有叠对(overlay)误差的特征的平面俯视图。
图3B是在设备的两个不同层中形成的有叠对误差的特征的平面俯视图。
图4是在设备的单个层中形成的有临界尺寸误差的特征的平面俯视图。
图5A是具有在设备的两个不同层中形成的没有临界尺寸或叠对误差的特征的衬底的平面侧视图。
图5B是具有在设备的两个不同层中形成的没有临界尺寸或叠对误差的特征的衬底的平面侧视图。
图6是示出用于训练和部署模型的方法的流程图。
图7是示出输入数据的示例和输入数据的源的方框图。
图8是示出用于使用所部署的模型来进行工艺调节的方法的流程图。
图9是展示DBO测量和CD-SEM测量之间的误差的曲线图。
图10是示出使用分类算法和可信度度量的合格率预测的流程图。
图11是示出用于训练和部署模型以预测合格率的方法的流程图。
图12是合格率预测***的一个实施方案的方框图。
图13展示了示出用于依据加权测试数据、可信度度量和分类来确定所制造产品的状态的工艺的方程。
图14展示了示出用于优化烧焊时间的工艺的方程。
图15是示出供预测分析的半导体制造工艺中的额外应用的方框图。
具体实施方式
1.概述
本公开描述用于测量和/或补偿在半导体制造工艺的生产运行中的工艺变化的新技术、用于使用这些技术来在工艺的任何步骤处预测合格率并用于优化测试和烧焊程序的新技术。例如,机器学习算法可用于通过合并新类型的输入数据来创建数据分析的新方法,且数据可以更有效地被相关联、组织和预先处理,然后用于进行工艺调节。来自先前的生产运行的数据可用于创建目标参数的模型,且来自当前生产运行的数据可被输入到模型以产生对目标参数的预测并使预测与实际数据相关。
2.一般半导体制造工艺
图1是典型的半导体制造工艺100的高级视图,其中实际上可以有数百个步骤。通常,可在生产运行的工艺的每个步骤和子步骤处收集数据,并可针对每个步骤计算合格率以及预测整个工艺的总合格率。
晶片制造在步骤102中出现,其中大量集成电路在被称为晶片的半导体衬底(例如硅)的单个截块上形成。需要以各种顺序的许多步骤来构建不同的集成电路。例如,沉积是在晶片上生长绝缘层的工艺。扩散是将杂质烘烤到晶片的区域内以改变电特性的工艺。离子植入是用于用掺杂剂灌注硅以改变电特性的另一工艺。在这些步骤之间,光刻处理允许晶片的区域被图案化有图像,然后使用掩模来暴露跨越晶片施加的光致抗蚀剂,且所暴露的光致抗蚀剂被显影。然后,图案被蚀刻以移除所显影的光致抗蚀剂的选定部分,且重复这些步骤以创建多个层。最后,金属化是形成在晶片上形成的各种设备/电路之间的电互连的专门沉积工艺。制造工艺在继续前进到后制造步骤之前可能花费几个月来完成。
晶片测试和归类在步骤104中出现。在已制造晶片之后,例如通过使用晶片探针施加测试图案来测试在晶片上形成的所有个别集成电路以找到功能缺陷。电路可能通过或未通过测试程序,且未通过的电路将被作标记或以其他方式识别,例如存储在表示晶片图谱的文件中。
组装和封装在步骤106中发生。晶片被切割成单独的个别电路或裸片,且通过晶片归类和测试的每个裸片接合到并电连接到框架以形成封装。然后,囊封每个裸片/封装以保护电路。
在步骤108中,封装受到随机电测试以确保在封装中的电路仍然如所预期地工作。
在步骤110中,剩余封装通过使封装暴露于极端但可能的运转条件而经历烧焊循环。烧焊可在一段时间内涉及电测试、热暴露、应力筛选或其组合。烧焊测试揭露有缺陷的部件。
最后,在步骤112中,对剩余封装进行最后一轮电测试。
3.机器学习算法
在计算技术和数据分析技术中的最近进展(例如大规模地执行并行处理)导致在机器学习算法、数据挖掘和预测分析中的进步。机器学习是涉及可从数据学习的***的构造和研究的人工智能的分支。这些类型的算法连同并行处理能力一起允许处理大得多的数据集,而不需要对数据物理地建模。这打开了合并数据分析以在光刻装置上进行对叠对误差和临界尺寸(CD)变化的校正的可能性。例如,除了使用常见的参数来校正叠对误差(例如CD计量、扫描仪上数据、晶片形状和几何计量、DBO测量)以外,来自上游工艺和计量的工艺参数和其它计量也可用于训练机器学习算法。
数据总是在半导体和电子器件制造中起作用。在半导体工业中,数据最初被手动地收集以跟踪进行中的工作(WIP)。所收集的数据的类型包括计量数据(在整个IC制造工艺中进行的测量)、参数测试数据、裸片测试数据、最终测试数据、缺陷数据、工艺数据和设备数据。标准统计和工艺控制技术用于分析并利用数据集来改进合格率和制造效率。在许多实例中,分析由领域专家以手动“专设”方式执行。
然而,随着设备节点变得更小且容限变得更严格,工厂变得更自动化且收集数据的能力得到改进。即使在收集数据的能力得到改进的情况下,也估计不超过一半的数据曾经被处理。此外,在所处理和存储的数据当中,多于90%从未被再次访问。
继续向前,数据量和速度继续快速增加。在半导体工艺工具上的数据收集速率的最近标准是1Hz。国际半导体技术蓝图(ITRS)预测对数据收集速率的要求在三年内将达到100Hz。许多专家相信更现实的速率将是10Hz。甚至10Hz速率表示数据速率增加10倍。除了更快的数据速率以外,还有在半导体制造工艺中部署的更多传感器。例如,应用材料工厂自动化集团有表明高级技术需要正推动传感器增加40%的蓝图。
给定现在收集的大量传感器数据和数据的低保持速率,在数据科学中的进步可以和应该被实现来解决半导体工业的问题。已经对利用数据取得一些进步从而改进在半导体和电子器件工业中的效率。例如,微芯片制造工厂组合并分析数据以预测用于特定工艺的工具何时需要维护或优化在工厂中的吞吐量。
因此,可使用预测分析和机器学习算法来处理面向半导体工业的挑战。通过更深地钻研半导体制造的细节和知道如何应用预测分析来更快地检测并解决合格率问题以及加强个别制造步骤的规范和以个别制造步骤的规范为目标,可导致增加合格率。图2展示工艺变化在产品性能上的累积效应的示例。关系可能是复杂的和难以使以下各项相关:例如工艺步骤的关键性能指标(KPI)(例如,光刻和蚀刻步骤202的临界尺寸、电介质膜厚度204和膜电阻率206);参数(例如,沟道长度和宽度212、晶体管和二极管阈值214和电阻216);以及产品性能(例如,最大频率222和最大电流224)。我们可使用预测分析来量化所述关系,并接着利用所述关系来预测并改进产品性能。
半导体工业呈现用于应用预测分析和机器学习算法的一些独特挑战。这些挑战中的一些是:在大部分批次工艺中的非线性度;由于产品混合而引起的多模态批次轨迹;工艺漂移和移位;少量训练数据(也许达不到很多);以及具有可变持续时间(常常被故意调节)的工艺步骤。
需要对这些挑战的良好理解以恰当地使用预测分析。如果被恰当地应用,预测分析可找到可能难以使用其它技术揭示的复杂关系。可利用这种对更深理解和洞察的新捷径以前所未有地增加合格率、改进设备性能并减小成本。
在一个示例中,机器学习算法可用于预测合格率。产品的合格率预测指在任何数量的制造步骤完成之后对产品的质量或可用性的预测。如果产品的合格率预测在给定制造步骤是“良好的”,则那个产品被预测为对那个制造工艺是有用的且应继续处理。如果合格率预测被预测为“不好的”,则那个产品被预测为对那个制造工艺是不合格的或不可用的且不被推荐用于继续处理。合格率预测在确定其是否对产品的继续处理是成本有效的时是有用的。在一些实施方案中,合格率预测是在决定是否继续产品的处理时的要素。合格率预测未必是在做出关于是否继续产品的处理的决定时的唯一变量。
在另一示例中,虚拟计量可使用机器学习算法来预测计量度量,例如膜厚度和临界尺寸(CD)而不必实时地进行实际测量。这可对吞吐量有大影响,且也减小对昂贵的TEM或SEM x部分测量的需要。基于来自生产设备的传感器数据和所采样的晶片的实际计量值来训练算法,虚拟计量可预测所有晶片的计量值。算法可以是监督学习算法,其中可使用输入数据集和所测量的目标来训练模型。目标可以是要控制的临界尺寸。输入数据可以是上游计量测量或来自工艺设备的数据(例如温度和运行时间)。
在又一示例中,在原位或在特定的半导体工艺完成之后进行的计量测量可用作虚拟计量***的输入数据的部分。例如,可在当前光刻步骤之前的一个或多个处理步骤中出现的CMP步骤之后收集计量数据。这些计量测量也可以是由每个计量***确定的厚度数据或折射率和吸收系数。
在另一示例中,可在蚀刻工艺期间收集计量数据。来自光致发光的光学发射频谱或频谱数据可用作输入数据。可对原位频谱数据或在例如蚀刻、沉积或CMP的特定工艺期间收集的其它传感器数据执行数据变换或特征设计。作为示例,可在处理期间在原位收集多个频谱。所使用的频谱集可以是在处理期间收集的所有频谱或在处理期间收集的频谱的子集。例如均值、标准偏差、最小值和最大值等统计可随时间而在频谱集的每个波长间隔被收集并用作数据输入。作为替代性示例,可对给定频谱收集类似的统计,且那些统计的时间序列可用作数据输入。作为又一示例,在频谱中的峰值和谷值可被识别并用作数据输入(应用类似的统计变换)。频谱可能需要被规范化或滤波(例如低通滤波)以减小工艺或***噪声。原位频谱数据的示例包括来自晶片、光学发射频谱(OES)或光致发光的反射测量。
在又一示例中,虚拟计量模型的目标可以是晶片探针测试的输出或由晶片探针测试进行的测量。此外,来自最终晶片电测试、晶片归类测试和晶片接受测试的输出可用作虚拟计量模型的目标。最终晶片电测试参数的示例包括但不限于二极管特性、驱动电流特性、栅极氧化层参数、泄漏电流参数、金属层特性、电阻器特性、导通孔特性等。晶片归类参数的示例包括但不限于时钟搜索特性、二极管特性、扫描逻辑电压、静态IDD、IDDQ、VDD最小值、电源开短路特性、环形振荡器频率等。虚拟计量模型的目标可以是来自最终测试的输出。目标可来自在不同的电气和温度条件下和在设备可靠性应力例如烧焊之前和之后出现多次的测试或在烧焊步骤出现的测试。目标可来自电气测试,其为功能、结构和***级测试的混合。
在又一示例中,机器学习算法可用于控制制造工艺步骤。如上文所提到,虚拟计量可用于对制造工艺步骤预测临界尺寸或膜厚度。在此制造步骤的处理之前或期间,预测可接着用于为那个处理步骤设定和/或控制任何数量的处理参数(例如运行时间)。
在又一示例中,机器学习算法可用于预测在制造工艺中或在工艺步骤处特定的工具上何时出现故障或缺陷。识别机器故障或失灵并快速找到故障的根本原因在半导体制造中可能是必不可少的。如果在制造工艺中的故障可更好地被检测和解决,则可减少停机时间和废品。这也被称为故障检测和分类(FDC)。如果可在故障出现之前预测到故障,则停机时间可被最优地调度且甚至可更进一步减少废品。作为示例,决策树可用于确定哪些输入特征可最好地预测工艺中的故障并围绕检测故障开发决策规则。
4.光刻和叠对误差
如上文所提到,光刻工艺对亚20nm节点制造提出挑战。光刻装置是将期望图案施加到衬底上、通常到衬底的目标部分上的机器。个别集成电路(IC)层的电路图案由将图案转印到目标上的通常被称为掩模或光罩的图案化设备产生。一般,通过成像到对辐射敏感的一层材料(例如抗蚀剂)上来转印图案,这层材料形成在衬底上。连续图案化的相邻目标部分的网络将存在于一个衬底上。
一种类型的光刻装置是步进式光刻机,其中目标部分的整个图案在单个实例中被暴露。另一类型的光刻装置是扫描式光刻机,其中通过在给定方向上用辐射束扫描图案来辐照目标部分,同时平行或反平行于这个方向来扫描衬底。
在随后的层中的图案化特征的位置必须非常精确,以便正确地构建设备。所有特征应具有在特定容限内形成的尺寸和形状。被称为在相邻层上的特征之间的偏移或失配的叠对误差应被最小化且在容限内,以便使所制造的设备正确地运行。叠对测量因此对确定使用在抗蚀剂层上的掩模暴露的给定图案的叠对误差很重要。
叠对测量模块一般使用光学检查***来执行叠对测量。通过测量来自在由光源照射的衬底上的光学标记的光学响应来确定相对于在衬底上的图案的位置的在抗蚀剂层中的掩模图案的位置。由光学标记产生的信号由传感器布置测量。使用传感器的输出,可得到叠对误差。一般来说,对其测量叠对误差的图案位于在目标部分之间的切割槽内。
用于测量叠对的两个常见概念是基于图像的叠对(IBO)和基于衍射的叠对(DBO)。对于IBO,衬底图案的图像位置与在抗蚀剂层中的掩模图案位置比较。叠对误差是这两个图像位置的比较的结果。成像方法在概念上是简单的,因为其基于直接展示两层的对准的“图片”的分析。例如,在这两层中通常使用框中框或线中线对准标记。然而,IBO误差测量可能对振动和也对在测量期间的聚焦的质量是敏感的,这都可导致图片的模糊。在光学器件中的像差可进一步减小IBO测量的准确度。
对于DBO,第一衍射光栅图案位于图案层上,以及具有相同间距的第二衍射光栅图案位于抗蚀剂层中。第二光栅应在名义上处于第一光栅的顶部上,且通过测量衍射图案的强度,可获得叠对测量。如果在这两个光栅之间有叠对误差,则其将在衍射图案中是可检测到的。DBO比IBO对振动更不敏感。
为了使多图案化解决方案起作用,特别是按照现在正实现的极其小的尺寸,对更精确和准确的掩模叠对的需要变得非常重要。除了最小化掩模叠对误差以外,临界尺寸一致性(CDU)也变得重要,因为叠对误差和临界尺寸(CD)变化的卷积可导致短路、连接故障和发生故障的设备。
例如,图3A展示具有在第一层上形成的特征302和在例如第一层上方的第二层上形成的特征304而没有任何明显的叠对误差的设备300的一部分的俯视图。另一特征(未展示)也在第一层上形成,在特征304之下并与特征304直接对准,从而不产生叠对误差。
相反,图3B展示具有在第一层上形成的特征312和313的不同设备310的一部分的俯视图。特征314在第二层上形成且应与在第一层上的特征313对齐,但在这个示例中由于特征313和314的未对准而展现叠对误差311。
图4展示具有在单层中形成的特征之间的CD变化的设备400的一部分的俯视图。因此,在特征之间的尺寸被设计为“x”,且那个尺寸在特征401和402之间以及在特征403和404之间被观察到。然而,在特征402和403之间,尺寸“小于x”,其为临界尺寸误差。
图5A是设备500的侧视图,设备500具有衬底501和在衬底的顶部上形成的特征的第一层502。特征503的第二层在两个不同的光刻步骤中在第一层502的顶部上形成。例如,特征511-514在第一光刻步骤中形成,且然后特征515-517在第二光刻步骤中形成。在这个示例中,在不同层上的特征之间没有表观叠对误差,以及没有CD误差,因为在不同的光刻步骤中形成的特征之间的尺寸一致地是“x”。
图5B是不同设备520的侧视图,设备520具有衬底521、在两个不同的光刻步骤中在衬底的顶部上形成的特征的第一层522和在第一层502的顶部上形成的特征503的第二层,即在第一光刻步骤中形成的特征531-534和在第二光刻步骤中形成的特征535-537。然而在这个示例中,在第二光刻步骤中有表观叠对误差550,因为特征535-537相对于第一层未对准。这也是在不同的光刻步骤中形成的特征之间的CD误差,其中在特征的一侧上的尺寸“大于x”,而在特征的另一侧上的尺寸“小于x”。
因此,确定并应用对叠对误差和CD误差的补偿在光刻工艺中变得极其重要。下面的表I示出对越来越小的节点的可接受的叠对误差和CD误差的不断紧缩的预算:
技术节点(nm) 28 20 14 10
叠对预算(nm) 9.0 6.0 4.5 3.5
CD规范(nm) 4.5 3.0 2.0 1.3
表I
存在导致叠对和CD误差的图案化误差的许多源。例如,光罩可引起放置误差、CD一致性误差和模糊缺陷。光刻和蚀刻工艺可具有焦点和/或曝光误差、叠对问题、蚀刻剖面问题(例如CD和形状)和其它缺陷。晶片制造和其它工艺可具有对晶片形状和一致性、膜性质一致性、CMP一致性、热处理以及后侧和边缘缺陷的问题。
当处理技术朝着越来越小的节点例如10nm和7nm过渡时,存在关于可用计量解决方案的能力的严重忧虑。必须最小化在这些解决方案中的不确定性,所以可对扫描式光刻机或步进式光刻机进行正确的调节以校正叠对和CD误差。虽然叠对可在x-y坐标系或表示叠对的向量中被定义,在光刻装置上有可提供调节以校正叠对的许多部件。
因此,描述了用于测量和/或补偿光刻图案误差例如叠对误差和CD误差的新技术。机器学习算法可用于创建数据处理和工艺控制的新方法。例如,更多和变化类型的输入数据可被提供到机器学习算法,且数据可更有效地被组织和预先处理以确定如何调节光刻装置的一个或多个参数以校正误差。
参考图6,流程图示出用于创建并部署模型以估计半导体制造工艺以便校正在光刻工艺中的误差例如叠对误差和CD误差的方法600。在步骤602中,选择目标。在一个实施方案中,目标是叠对测量(例如IBO测量、DBO测量、CD-SEM、TEM等),且可以是在x和y方向上的线性叠对偏移。目标也可以是需要被控制以最小化叠对误差的其它光刻装置参数,例如光罩位置、光罩旋转或光罩放大。目标可以是参数数据,例如晶体管的接通/断开电流、晶体管阈值或量化晶体管的健康状况的某个其它参数。目标也可以是合格率信息,例如在晶片上的给定裸片或区域的功能(有时被测量为通过或未通过)。目标也可以是半导体设备性能数据。
在步骤604中,识别在估计目标时有用的参数,以及在步骤606中,收集与参数有关的输入数据。输入数据的每个集合与特定的输出或目标相关。例如,所测量和观察的值的集合可与叠对偏移相关。那些值将是模型的输入向量,且将与目标例如所测量的目标相关。如果有n个输入变量,则每个目标的输入向量尺寸将是1x n。因此,如果有m个目标,则将有尺寸m x n的输入数据矩阵,输入数据矩阵的每行与目标相关。这是在机器学习算法的矩阵格式中的一般训练集合。这个矩阵的说明在下面的表II中给出:
Figure GDA0003347393990000131
Figure GDA0003347393990000141
表II
目标数据可在其它工艺结束之后被收集,或可在半导体设备完成所有其处理之后被收集。封装后数据也可用作目标。
已经在叠对误差补偿和光刻装置控制中有规律地使用的一些参数将用作这个输入数据集的部分。例如,这些有规律地使用的参数可包括来自计量设备的DBO测量、晶片形状和几何测量或来自光刻装置的参数。
更重要地,来自上游半导体工艺和计量的其它参数也可用作算法的输入。这些输入参数可包括来自较早的工艺步骤的其它计量测量,包括光学反射测量或椭圆计测量(法向入射、偏振或非偏振光、倾斜入射角和变化的方位角)。
这些计量测量可以是算法的输入,作为在给定波长处的强度。例如,可从在某个处理步骤(例如蚀刻或沉积)之后进行的反射测量合并计量数据。如果反射测量数据通过用非偏振宽带光照射目标被收集并具有250nm到850nm的可检测的波长范围,则用户可选择以2nm间隔对从250nm到850nm的光采样,以获得那个波长范围的总共301个频谱强度测量。这301个样本每个将是算法的输入。在表III中示出输入数据如何与目标相关的示例。
Figure GDA0003347393990000142
表III
可在原位或在特定半导体工艺结束之后进行计量测量。例如,可在当前光刻步骤之前的一个或多个处理步骤中出现的CMP步骤之后收集计量数据。这些计量测量也可以是由每个计量***确定的厚度数据或折射率和吸收系数。在另一示例中,可在蚀刻工艺期间收集计量数据。来自光致发光的光学发射频谱或频谱数据可用作输入数据。
可对原位频谱数据或在例如蚀刻、沉积或CMP的特定工艺期间收集的其它传感器数据执行数据变换或特征设计。作为示例,可在处理期间在原位收集多个频谱。所使用的频谱集可以是在处理期间收集的所有频谱或在处理期间收集的频谱的子集。例如均值、标准偏差、最小值和最大值等统计可随时间而在频谱集的每个波长间隔被收集并用作数据输入。作为替代性示例,可对给定频谱收集类似的统计,且那些统计的时间序列可用作数据输入。作为又一示例,在频谱中的峰值和谷值可被识别并用作数据输入(应用类似的统计变换)。频谱可能需要被规范化或滤波(例如低通滤波)以减小工艺或***噪声。原位频谱数据的示例包括来自晶片、光学发射频谱(OES)或光致发光的反射测量。
输入参考还可包括非光学测量,例如由探针进行的Rs(导电率、电阻率)测量和其它类型的接触测量或诸如高分辨率剖面器(HRP)的接触测量。
输入参数也可起源于可安装在匹配网络和蚀刻器的等离子体电极之间的等离子体阻抗监测器(PIM),并可提供关于电抗、阻抗、电阻、电流、电压、功率、相位和基频的数据。
工艺设备测量或度量也可用作算法的输入,例如气体流量传感器、功率传感器、压力传感器、温度传感器、电流传感器、电压传感器等。这个数据可在光刻步骤之前出现的工艺步骤中被收集,其中叠对将被测量并控制。这些的示例包括工艺时间、来自蚀刻室的RF频率和功率、电流和阻抗测量、CMP抛光时间、来自CMP工具的电机电流、CVD沉积时间和来自质量流量控制器的信息、温度、压力等。这个数据可来自从正被执行的光刻步骤起的任何或所有上游工艺。
参数数据和测量例如沟道宽度和深度、晶体管阈值和电阻也可用作算法的输入。
在DBO技术中使用的衍射频谱或数据也可以是输入数据的部分。所有上文所提到的输入可与DBO输出中的轻微变化相关,并可因此导致叠对误差补偿的更好控制或更好的光刻控制,给定来自蚀刻的CD测量。
在蚀刻之后进行的CD测量是作为输入挑选出的重要参数。如上文所讨论,这些测量与叠对误差做卷积运算以确定设备性能或合格率。
在DBO测量***中,衍射光用于测量叠对。然而,在上游工艺中的变化可影响频谱签名。例如,如果在上游膜性质的折射率中的移位,则频谱签名可改变。同样,如果衍射光栅的侧壁角由于工艺移位而移位,则这可引起在频谱签名中的变化。因此,通过使用对衍射频谱可能有影响的上游数据训练机器学习算法,叠对误差可被紧缩或叠对测量可变得更准确,如果在上游工艺和衍射光栅的频谱签名之间发现关联。
返回到图6,在步骤608中,可对输入数据执行滤波、规范化和/或精炼步骤。
在步骤610中,执行维数减少或特征选择步骤。这个步骤的目的是减少算法的输入参数的数量。维数减少技术通常是已知的,例如主分量分析(PCA)。
在步骤612中,数据然后被馈送到算法内用于训练。算法可以是许多不同类型的算法之一。机器学习算法的示例包括决策树,例如CART(分类和回归树)、C5.0、C4.5和CHAID;支持向量回归;人工神经网络,包括感知器、反向传播和深度学习(BigData被启用);以及系集(Ensemble),包括提升(Boosting)/套袋(Bagging)、随机森林和GBM(梯度提升机)。最佳算法可能不是单个算法,而可能是算法的系集。
特别是,GBM(梯度提升机)和随机森林算法可产生最佳结果。其它机器学习算法,包括上文所提到的算法,也可很好地起作用且应被考虑。
给出训练输入数据和训练目标,算法可在步骤614中产生模型。可接着在步骤616中部署模型。
图7示出收集输入特征集合710的输入数据的一个示例,输入特征集合710是具有与特定的目标有关的多个输入参数712a、712b……712x的矩阵712,该目标可以是测量、所计算的参数或所建模的参数。可在晶片制造期间、在晶片测试和归类和/或晶片探针测试时或之前收集输入数据。例如,输入数据可在用于蚀刻、CMP、间隙填充、掩盖、RTP等的步骤期间从工艺设备720收集,并可包括工艺变量,例如工艺持续时间、温度、压力、RF频率等。输入数据还可包括计量数据730,例如CD、晶片形状、膜厚度、膜电阻率、线内或原位测量等。输入数据还可包括参数数据740,例如沟道长度、沟道宽度、沟道深度、晶体管阈值、电阻等。
图8示出模型的使用。在步骤802中,特定的输入数据被收集例如作为输入向量,然后在步骤804中被馈送到模型中。如果一些特定的数据不存在于1xn向量中,则存在可代替或估计输入向量中的缺少的数据的许多技术。
对于被馈送到算法模型中的尺寸1xn的每个输入向量,将在步骤806中产生分数。分数是由模型做出的目标的预测,给定输入数据。由模型产生的分数将对应于用作用于训练产生模型的算法的目标的任何度量。例如,如果DBO测量用于目标以训练算法,则分数将是预测的DBO测量。如果目标是参数测试值,则分数将是那个参数测试值的预测。在一般情况中,分数可以是叠对偏移预测,例如在x方向或y方向上的偏移。在步骤808中,分数用于确定对光刻装置的一个或多个部件进行调节。例如,偏移数据可适用于控制***以对光刻装置参数或“控制旋钮”进行调节以调节叠对误差。
除了分数以外,模型还可输出描述分数预测可靠程度的可信度度量。这可能在确定是否使用分数或结合其它传统测量利用那个预测的使用时是有用的。例如,如果所预测的偏移是3.0nm,则DBO测量的偏移是6nm,且在预测中有0.8(1.0中)的可信度,则最后预测的偏移将是:
(3.0*0.8)+(6.0*0.2)=3.6nm
如前面讨论的,CD误差和叠对误差的卷积可影响设备性能。为了优化设备性能,可能必须调节给定CD的叠对。在一个实施方案中,机器学习算法可与上文所提到的输入数据中的全部或一些一起连同CD误差测量和叠对误差测量一起使用来创建模型,其目标是光刻装置控制参数,例如焦点、功率或x-y方向控制。目标是优化光刻装置控制参数(给出所测量的CD),使得光刻装置输出导致最佳半导体设备性能或合格率。
当新输入数据和对应的目标数据产生时,算法可被再训练以便产生将给出更好的分数的更好的模型。一组算法可与相同的输入和目标数据集一起同时被训练。给出最好的输出的算法可以是最终被部署的算法。替代地,算法的系集可被识别为待部署的最佳算法。最佳算法由借助于对训练数据集的验证测试给出最佳结果的任何算法识别。例如,k均值交叉验证是用于验证算法的流行的技术。
如上文所提到,输入数据集应经历预处理。预处理步骤可改进输入数据集的质量并增加由模型进行的预测的准确度和精确度。在一些实施方案中,其它数据准备技术可适用于输入数据,例如数据的规范化或参数化。
此外,可产生z分数以补偿在数据中的漂移和移位。例如,如果工具被校准,输入数据可移位。如果移位出现,则这可改变输入数据的总均值和标准偏差,这将对模型产生差的结果。人或算法可用信号通知移位何时出现,例如工艺工具何时经历校准,且数据可在校准之后在一段时间内以“收听模式”(不向产品施加算法预测)被收集以确保没有错误的预测。在某个时间段之后,从那个数据产生z分数。z分数应类似于在校准之前出现的数据的z分数。这是在校准发生之前和之后规范化数据的示例。
在一些实施方案中,从上游工艺设备和计量数据产生的虚拟计量预测可用作模型的输入。这本质上表示多步骤模型或算法,其中首先虚拟计量预测由第一算法确定。例如,输出可用作为叠对误差补偿、叠对误差测量或合格率预测设计的另一算法的输入。
在在产品上完成所有测试和制造之后,可通过算法进行预测。在一般情况中,目标是预测产品在运送之后和/或在使用中是否出故障,即使产品成功地通过所有最后的测试。
算法可以是分类或回归算法,其为机器学习算法的类型,但可以是许多不同类型的算法之一。可被使用的这些算法中的一些的示例包括:决策树,CART(分类和回归树)、C5.0、C4.5和CHAID、支持向量回归、人工神经网络、感知器、反向传播和深度学习、系集、提升/套袋、随机森林和GBM(梯度提升机)、AdaBoost。
在一些实施方案中,最佳算法可以不是单个算法,但可以是算法的系集。算法的系集可使用不同的技术来确定哪个算法或算法的组合给出最佳预测。例如,系集算法可采用来自在系集中的所有算法的平均推荐。在另一示例中,系集算法可使用投票方案来做出最后的推荐。系集算法可使用适用于单独算法的集合的不同的加权方案,以便产生最佳预测。
特别是,使用GBM(梯度提升机)和随机森林算法来产生良好的预测。
分数是当模型被部署时对被馈送到模型中的每个输入向量做出的预测。例如,如果目标是预测在晶片测试时晶片是否将被识别为“良好的”,则输入向量可由与晶片相关的所有输入数据组成,且那个输入数据将被馈送到模型中以做出预测。
在一些实施方案中,模型也可输出可描述分数可靠程度的可信度度量。这可能在确定是否使用分数或优化最终测试或计算烧焊时间时是有用的,或其可在最终合格率预测中被使用。在多步骤算法的情况下,可信度度量可用作随后算法的输入。
倾向度量也可在算法是分类算法时产生,且在一个实施方案中将具有在0和1之间的值。作为示例,如果倾向值接近0,则可能性是预测是一个分类(例如假)。如果倾向值接近1,则可能性是另一分类(例如真)。倾向度量可指示算法在进行给定预测时多么有自信,即倾向度量越接近0或1,预测是正确的可信度就越高。在多步骤算法的情况下,倾向度量可用作随后的算法的输入。
在实施方案中,当新输入数据和对应的目标数据产生时,算法可被再训练,以便产生将给出更好的分数的更好的模型。
在一些实施方案中,一组算法可与相同的输入和目标数据集同时被训练。可选择给出最佳输出的算法用于部署。
在一个示例中,算法可适用于finFET结构的处理和制造。流动间隙填充膜材料特性是可变的,这影响膜密度和其光学特性。这可使用于测量并控制膜厚度的光学计量混淆,导致错误的膜厚度测量。在finFET的制造中,这可导致栅极高度的错误测量,且因此使栅极高度是可变的。可变的栅极高度可导致增加的栅极电容、泄漏和对较高驱动电流的需要。因此,算法的输入可以是蚀刻工艺参数、流动CVD工艺参数、CMP工艺参数、氧化物计量输出、TEM和合格率结果。算法可用于使用蚀刻工艺、流动CVD工艺和CMP工艺来检测和修理问题。
蚀刻深度可在栅极高度的确定中起很大作用。蚀刻工艺也可影响栅极侧壁角,其可对栅极性能和光学计量签名有影响。在一些实施方案中,蚀刻工艺参数可用作上述模型的输入参数以检测问题或控制CMP工艺,或可以是控制的目标。算法可控制工艺,检测工艺问题并实现更严格的栅极规范。在一些实施方案中,蚀刻工艺参数可用作在确定光刻工具测量时的输入。蚀刻工具工艺参数可用于预测蚀刻速率或最终蚀刻深度,如在虚拟计量的情况中的。虚拟计量算法的输出可接着用作光刻工具控制的输入,例如作为中间步骤算法。
算法也可适用于3D-NAND的处理和制造或垂直NAND存储器结构。为了形成垂直NAND(3-D NAND)结构,半导体制造商使用氧化物和氮化物的交替层或氧化物和导体层。这些叠层可以非常厚,例如2um高,并继续按比例调整得更厚。这导致高应力、层离和破裂。
为了处理应力问题,算法可使用这些膜的毯式沉积的工艺参数(例如气体流量、温度、工艺循环时间)以及用于测量这些膜叠层的原位和线内计量(包括宽带光计量)作为输入。在没有明确必须应用任何物理建模的情况下,可在合格率/检查/应力测试和上文所提到的输入之间找到关联以立即识别毯式沉积的问题。
3-D存储器特性化和故障分析提出许多挑战,且极其需要更好的特性化。目前,TEM和x射线技术被使用,但吞吐量低,并可导致材料状态变化。此外,由于许多缺陷被嵌入的事实,使探针故障和线内缺陷检查相关联很困难。电子束检查越来越多地用于识别结构缺陷,但引起了额外的成本。在一些实施方案中,间隙填充工艺参数用作算法的输入。电子束3D检查也可用作算法的目标。
5.叠对误差的工艺示例
可对一个或多个训练晶片执行叠对工艺,且然后针对实际叠对误差分析训练晶片。测量叠对误差的最准确的方式是CD-SEM或TEM。所有可用的晶片几何参数例如厚度、直径晶片形状变换、平面内位移、应力引起的局部曲率、晶片厚度和平坦度变化、前和厚表面纳米形貌(NT)、晶片边缘滑离(ERO)、滑移线;扫描仪参数例如平移(x,y,z)、旋转(x,y,z)、焦距倾斜、剂量误差、焦距残差、放大、非对称放大、非对称旋转;CD测量例如膜厚度、沟槽深度、金属栅极凹槽、高k凹槽、侧壁角、抗蚀剂高度、硬掩模高度、间距步调;膜性质参数例如折射率和吸收系数(n&k光学常数);其它叠对测量例如DBO和IBO的参数(还可包括衍射签名的强度值连同DBO测量本身一起)用作训练模型的输入,对应的实际叠对误差作为目标。在可适用的场合,在实际叠对测量的晶片上的位置与那个位置的所有输入参数的位置匹配。一些工艺参数例如温度、压力、工艺持续时间等和其它工具相关参数在每晶片基础上被收集,且不能特别映射到部位。更确切地,当部位特定信息是不可适用的或可用的时,给定晶片的所有部位将包含为晶片收集的相同的值。替代地,如果叠对误差测量的分辨率大于给定输入参数的空间分辨率(例如在晶片上的9部位CD测量),则最接近的输入参数将映射到那个实际叠对误差测量。用于完成此动作的良好的技术是k均值聚类。其它技术包括内插(3-D)以确定输入参数或立方样条的值。
通常已知,由于工艺和几何影响,DBO和IBO不是用于测量叠对的完美技术。例如,图9针对143个测量展示在DBO和叠对的更准确的CD-SEM表示之间的误差。如果DBO参数(例如在衍射频谱的每个波长处的强度)连同DBO预测的测量一起被包括在输入数据集中,则可能使图9所示的误差与光刻工具的工艺参数相关。
一种方法将目标指定为在DBO测量和CD-SEM测量之间的增量。在DBO和CD-SEM或TEM之间相关的误差可归因于输入数据集并在生产中被校正。
一旦训练输入数据集被组织,其就被精炼。训练输入数据可具有破坏的值,在这种情况下破坏的值被移除并用空白或空值代替。数据集也可包含各种信息特征例如分类号码或晶片ID的不一致的值。例如,分类号码描述可在一些情况下出现为“lot_A”而在其它情况下出现为“lot.A”。这些值必须转换成相同的命名,例如“lot.A”。
输入数据然后被规范化或变换。例如,在工具校准的情况下,数据可能需要被平均移位。也可为在给定输入数据集内的不同群体或分布从输入数据集计算z分数。例如,如果为在时间A和时间B之间为给定工具校准收集输入的一部分,则规范化那个数据或为数据的那个部分产生z分数。如果在时间B和时间C之间使用不同的工具校准,则为那个部分执行规范化或z分数产生。结果是对工具校准不敏感的完整数据集。除了工具校准(其可产生对数据变换的需要)以外的事件是上游工艺变化和可消费的变化。重要地注意到,一旦生产开始,相同的变化将需要被应用。为了在实时生产中收集足够的数据以便进行变换,可能不需要应用预测,直到用户指定的数量的数据被收集以便进行变换为止。然而,可确定,所变换的数据不是模型的重要特征。
训练数据集可分成训练、测试和验证部分以确保构建不过拟合或过偏置的稳健模型。一般划分可以是60%训练、30%测试和10%验证。对于一些模型,例如在分析平台例如IBM SPSS建模器中实现的提升或自举聚合模型,测试和验证集合需要被分离,因为测试数据集用于进一步优化模型,而验证集合完全无视任何模型训练或优化活动。对于其它类型的模型(例如标准线性回归),将分区只分成训练和测试是可接受的。重要地注意到,可在模型构建阶段期间使用诸如k折交叉验证的技术以确保模型不过拟合到任何给定训练集合。这涉及旋转数据集的训练/测试/验证部分以确保所有数据看到训练或测试部分。
如果给定输入具有大量缺少或破坏的值,则可在训练模型时不考虑那个输入特征。例如,如果数据的多于50%对给定输入特征不存在,则那个输入特征可不予考虑。替代地,可以用标称值填充缺少的数据字段,或可从训练数据集完全移除不包含值的记录。可基于给定输入特征的重要性的人为判断来决定使用哪种技术的确定。
那个数据集也可能必须对给定的键码合并。键码一般是在晶片或扫描仪上的x-y坐标,或可以是裸片号。如上文所提到,数据集可能需要映射到给定键码(立方样条、内插或最近邻)。在可适用的场合,在晶片上的位置例如特定裸片或其位置与那个部位的所有输入参数的位置匹配。一些工艺参数例如温度、压力、工艺持续时间等和其它工具相关参数在每晶片基础上被收集,且不能特别映射到部位。更确切地,当部位特定信息是不可适用或可用的时,给定晶片的所有部位将包含为晶片收集的相同值。替代地,如果裸片位置的空间分辨率大于给定输入参数的空间分辨率(例如在晶片上的9部位CD测量),则最接近的输入参数将映射到那个实际裸片。用于完成此动作的良好技术是k均值聚类。其它技术包括内插(3-D)以确定输入参数或立方样条的值。
训练输入数据集可包含数千个输入特征,且输入特征的相关集合可能需要被确定。可能需要实现用于移除微弱地与叠对误差相关的不相关的输入特征的工艺。作为在这个工艺中的第一步骤,可移除根本不改变的输入特征。
还有许多特征选择的方法。一种方法是实现识别与预测叠对误差最相关的输入特征的随机森林。另一技术是CHAID决策树,其也将识别重要的特征。线性回归是另一技术。ANOVA是另一技术。
替代地,也可使用维数减少。常见的维数减少技术包括偏最小二乘法和主分量分析,其将基于初始输入参数的大集合来创建输入参数的新的较小集合。例如,5000个特征的输入集合可减小到可解释数据中的变化的相当大的部分的30个最新产生的主分量的输入集合。维数减少步骤的结果或输出可用作模型的新输入。例如,由PCA产生的主分量可以是模型的输入。主分量将表示来自输入的较大集合的输入的精简集合。
从原始输入数据中,可构造一组虚拟计量模型。虚拟计量模型的目的是在半导体制造工艺中预测关键度量。例如,给定某些上游变量例如蚀刻工具工艺参数、前一台阶厚度和工艺变量例如沉积工具工艺参数、CMP工艺参数和膜的光学n和k值,可预测蚀刻深度。在一些实施方案中,蚀刻工艺参数可在确定光刻工具控制时用作输入。蚀刻工具工艺参数可用于预测蚀刻速率或最终蚀刻深度(如在虚拟计量的情况中的)。虚拟计量算法的输出可接着用作光刻工具控制的输入作为中间步骤算法。中间步骤算法(或虚拟计量算法)的输出可用作叠对误差的确定的输入变量。
在模型中的某些参数在确定最佳模型时很重要,最佳模型的某些变化可被尝试。选择给出在所预测的和实际叠对误差之间的最少误差的模型参数的最佳组合。例如,可设定在决策树叶中允许的记录的最小数量,或在随机森林算法或GBM模型中使用的弱学习者的数量或在随机森林算法中的每个弱学习者的输入特征的数量。
候选模型预测叠对误差并比较其与在验证晶片上的实际叠对误差。如果预测准确度基于叠对预算和其它考虑因素满足某个阈值,则候选模型被认为是有效的并准备被部署以预测在与训练和验证晶片共享类似的处理条件的其它生产晶片上的叠对误差。
一旦模型或多步骤模型和相关参数被选择,模型就首先在生产中以“收听模式”实现,其中当晶片贯穿生产时,叠对误差预测被进行。所预测的叠对误差可与实际叠对误差比较。如果所预测的误差被发现在用户定义的阈值或叠对误差预算内,则生产被允许继续运行且更多的数据被收集。
如果替代地,在与实际测量的叠对误差比较时模型不在规定限制内预测,则一直到那个点被收集的所有数据用于再训练模型,如在上面的步骤中概述的。如果模型在被再训练之后现在预测在用户定义的阈值内的结果,则模型在生产中以收听模式被重新部署。如果模型在规定的误差限制内(所预测的——实际叠对)在用户规定的时间段(例如生产的8个星期)期间执行,则模型被允许代替在实际生产中使用的一些实际叠对测量。随着时间的推移,如果模型继续执行得很好,则越来越多的产品将依赖于所预测的叠对,直到叠对预测在所有生产上被使用为止。
当新数据变得可用时,将继续以用户定义的间隔(例如一星期一次)再次训练模型。为了再训练模型,可使用可用的整个数据集。只使用在一段时间例如仅仅最后3个月期间可用的最后的数据以训练模型并丢弃非常老的数据(因为其变得陈旧)可能也是有益的,因为工艺明显经历移位。对模型训练保留定义输入和目标变化的极端的较老的数据并丢弃较老的冗余数据以维持模型训练效率或节省存储器空间也可能是有益的。甚至在完全生产释放之后也通过继续与实际叠对测量比较来继续监控所预测的叠对的性能可能也是有益的。如果发现在所预测和实际叠对之间的误差落在容限之外,则预测将不在一段时间内被部署,直到确定预测为什么落在容限之外且模型被再训练并逐渐释放回到生产内为止。
一旦确定了候选模型,就从生产晶片选择一个或多个验证晶片,且使用图案化晶片几何计量工具为验证晶片获得图案化晶片几何参数。对一个或多个验证晶片执行叠对工艺,并为实际叠对误差分析一个或多个验证晶片。候选模型预测叠对误差并比较其与在验证晶片上的实际叠对误差。如果预测准确度基于叠对预算和其它考虑因素满足某个阈值,则候选模型被考虑为有效的并准备被部署以预测在与训练和验证晶片共享类似的处理条件的其它晶片上的叠对误差。
一旦验证了候选模型,就使用图案化晶片几何计量工具扫描剩余的生产晶片以确定晶片几何参数。基于晶片几何参数和所部署的预测模型,***预测剩余生产晶片的叠对误差并调节光刻扫描仪以校正所预测的叠对误差。点到点预测对前馈所预测的叠对、应用调节和因而在暴露之后减小实际叠对误差很重要。
6.合格率预测
预测合格率通常在半导体设备的制造中很重要,且当半导体设备的制造变得越来越昂贵时甚至更是这样。可在工艺中的不同步骤进行合格率预测。
如果可在制造工艺的任何阶段准确地预测合格率,则在以后的工艺中优化并节省成本变得可能。例如,如果可在晶片归类和测试之前将设备预测为不好的,则可避免那个设备的进一步测试和处理,因而节省进一步的处理成本。一般,在半导体制造工艺中有数百个步骤。用于晶片的制造的工艺在继续前进到后制造阶段之前可能花费2到3个月,后制造阶段通常包括晶片测试和归类、组装/封装、最终测试和烧焊。在这些步骤的每个,可计算所预测的合格率。制造合格率可被测量为通过晶片制造工艺的合格晶片与进入给定工艺的所有晶片之比。晶片测试合格率可被计算为在晶片测试时确定的无缺陷芯片与进入晶片测试的所有芯片之比。以类似的方式计算组装和封装合格率,即合格芯片与进入那些对应的工艺的所有芯片之比。
用于合格率预测的现有技术主要基于单变量分析。例如,给定缺陷的数量,马尔科夫链预测芯片是否导致正合格率。然而,多变量分析变得更流行,因为测试数据的数量变得非常大。用于多变量分析的常见技术是判别式分析,但这种技术假设数据被正常分布且是独立的,情况并不总是这样。
进一步加重对多变量分析的需要是在半导体制造工艺中可访问的数据的数量继续增长的事实。然而,机器学习算法、数据挖掘和预测分析的使用使大数据集的操纵变得可管理。此外,与许多机器学习算法相关的可信度和倾向度量可用于优化晶片归类/测试、最终测试和烧焊活动。
对于半导体制造,当测试输出的封装芯片时估计每百万单位不良零件数(DPPM)的度量。在一般情况中,功能/结构测试模式在晶片归类时且也在零件(或产品)被封装之后被使用以确定哪些产品/裸片是有故障的。功能***级测试然后接着发生。在每个随后的阶段的测试的费用可明显高于在前一阶段的。通常,使用在晶片归类时使用的相同结构模式或使用功能测试模式在烧焊室中和在负载板上测试已封装的产品。这样的测试的成本在过去的几年中明显增加,因为设计复杂度增加了。
用于制造微芯片的一般商业模型是铸造厂/无生产线供应商模型,其中晶片在铸造厂被制造并接着传递到无生产线供应商设计室或封装合伙人以供用于后续的处理和测试。术语“已知良好裸片(KGD)”指在晶片归类/测试时或之前的裸片,其被测试到与其封装对应物相同的质量和可靠性级别。如果裸片在晶片归类/测试阶段通过但在晶片归类之后的某一点出被发现是有故障的,则在晶片归类之后设计室或封装室可引起在制造产品时采用的任何步骤的成本。在一个商业模型中,通过晶片归类的来自铸造厂的裸片由无生产线供应商设计室购买。如果裸片在封装之后被发现是有故障的,则设计室支付那些裸片的费用。这可能对于进入堆叠式IC或多芯片模块内的裸片来说极其昂贵,因为只要裸片中的一者被发现是不好的,则已封装的芯片中的所有裸片都将必须被丢弃。
因此,在最早的阶段知道裸片是否将在其被封装之后起作用变得非常重要。如果封装后合格率可在晶片归类时或在最终测试的各种阶段或在烧焊前被更准确地预测,则其可明显减小由拥有带缺陷的产品的任何实体封装后引起的成本。此外,预测和可信度度量可被确定并可用于优化烧焊时间,这可导致明显的成本节省。
通常,产品的合格率预测指产品的质量或可用性的预测。在一个实施方案中,合格率预测可以是两个值,即“通过”或“未通过”(或“良好”或“不好”或“可用”或“不可用”)之一。例如,如果产品的合格率预测在给定的制造步骤是“通过”,则那个产品被预测为关于那个制造工艺是可用的,且应继续处理。如果合格率预测被预测为“未通过”,则那个产品被预测为关于那个制造步骤是有故障的或不可用的,且不被推荐用于继续处理。合格率预测因此在确定其是否对产品的继续处理是有成本效益时是有用的。在一些实施方案中,合格率预测是在决定是否继续产品的处理时的要素。合格率预测未必是在做出关于是否继续产品的处理的决定时的唯一变量。
本公开描述用于在晶片归类之前、期间和之后预测合格率的新颖技术。这些合格率预测可用于通过在晶片归类、最终测试、烧焊和其它晶片归类后测试时更准确地预测合格率来减少成本。也可使用合格率预测及其相关可信度度量来做出关于在晶片归类之后要执行哪些测试的决定。合格率预测也用于优化并减少烧焊时间。
在一个实施方案中,合格率预测可以是分类***或算法的预测或结果。分类***或算法可确定在所有制造步骤完成之后产品是否是起作用的或不起作用的,给定算法的输入数据集。例如,如果分类***或算法预测产品将是起作用的,则可认为合格率预测是正的,或产品将生利。例如,可分配“0”以指示通过/起作用的产品,而可为未通过/不起作用的产品分配“1”。
如关于叠对误差讨论的,给定算法的输入数据,用于进行合格率预测的分类***或算法也可提供可信度或倾向度量连同通过或未通过分类。可信度或倾向度量可以是在规定范围或未规定范围内的值。在一般情况下,值可以是在0和1之间的实数。在这个示例中,如果值接近0,则可信度低。如果值接近1,则可信度高。
可以为可信度值设定阈值以将可信度值存储为高或低。例如,如果可信度度量在0和1之间变化且阈值被设定在0.5,则高于0.5的可信度值将被认为是高可信度,而低于0.5的值将被认为是低可信度。
可结合通过或未通过分类来使用可信度或倾向度量以进行最终合格率预测,如在图10中所示的。在步骤1002中将数据输入到分类算法。如果在步骤1004中,分类算法预测产品将通过且可信度度量在步骤1006中对分类预测高,则在步骤1008中的合格率预测被认为是正的,意味着有产品将通过的高可信度。
然而,如果分类算法在步骤1004中预测产品将通过,但可信度值在步骤1006中是低的,则在步骤1010中的合格率预测是负的,以便不产生任何假正结果。在一些情况中,这个性质的假正是非常不合乎需要的,因为实际上有故障的但被预测为良好的产品可能对制造商非常昂贵。
类似于上面的预测叠对误差的讨论,可通过实现机器学习、预测分析和数据挖掘算法(其中全部被称为算法)来进行合格率预测。在叠对部分中识别的输入数据的类型也与预测合格率和其它目标的估计有关。此外,在上面的叠对部分中描述的技术和示例在这里也通过引用被并入,因为其也与预测合格率或估计其它目标有关。因此,对识别输入数据、收集输入数据、变换输入数据、训练和再训练模型以及部署模型描述的技术可适用于合格率预测和其它目标的估计。图11示出用于创建并部署模型以估计半导体制造工艺以便预测合格率的方法1100。在步骤1102中,选择目标。在一个实施方案中,目标是整个制造工艺的总合格率。在另一实施方案中,目标是个别工艺步骤的合格率。目标可以是在晶片上的单独裸片或整个晶片的合格率。目标也可以是在最终测试时、在烧焊之前的封装芯片或产品或在最终测试时、在烧焊之后的封装芯片或产品的合格率。
在步骤1104中,识别出在估计合格率时有用的参数,以及在步骤1106中,收集与参数有关的输入数据。输入数据的每个集合与特定的输出或目标相关。例如,一组所测量和观察的值与实际合格率值相关,且那些值作为输入向量被提供到模型。
通常,算法的输入数据可以是来自在晶片制造期间执行的任何或所有工艺的输入数据。在晶片归类和测试之前收集的来自半导体制造工艺和计量的晶片级数据可用作算法的总输入的部分或全部。这些输入参数可包括来自工艺步骤的计量测量或在晶片制造工艺期间收集的计量测量。这些测量可包括光学反射测量或椭圆计测量数据和在给定波长处的每个测量的强度。可从在某个处理步骤(例如CMP或蚀刻或间隙填充工艺)之后进行的反射测量合并计量数据。计量测量也可由非光学测量例如由探针进行的Rs(导电率、电阻率)测量和其它类型的接触测量或诸如HRP或高分辨率剖面仪的接触测量产生。
在一些实施方案中,输入数据的部分或全部可来自晶片探针测试的输出或由晶片探针测试进行的测量。此外,来自最终晶片电测试、晶片归类测试和晶片接受测试的数据可用作输入数据。最终晶片电测试参数的示例包括但不限于二极管特性、驱动电流特性、栅极氧化层参数、泄漏电流参数、金属层特性、电阻器特性、导通孔特性等。晶片归类参数的示例包括但不限于时钟搜索特性、二极管特性、扫描逻辑电压、静态IDD、IDDQ、VDD最小值、电源开短路特性、环形振荡器频率等。
输入数据可来自最终测试。输入数据可来自在不同的电气和温度条件下和在设备可靠性应力例如烧焊之前和之后出现多次的测试或在烧焊步骤出现的测试。输入数据可来自电测试,其为功能、结构和***级测试的混合。
可用作合格率预测***的输入的测试输出可以是二进制类型(通过/未通过)或可以是模拟的或可以是有边界或无边界的实数。模拟输出可以是电压读数或电流读数。
在步骤1108中,输入数据经历滤波、规范化和/或精炼步骤。在步骤1110中,维数减少或特征选择被执行以减少用于处理算法的输入参数的数量。
在步骤1112中,数据接着被馈送到一个或多个算法用于训练。给定训练输入数据和训练目标,算法将在步骤1114中产生模型,其可在步骤1116中被部署以作用于实时数据。
在一个实施方案中,所测量的产品的状态可以是对最终测试的结果加权的功能的结果、合格率预测***的可信度度量和合格率预测***的分类,如图13所示。如果状态预测高于规定阈值,则零件可被确定为良好的或可用的。
在实施方案中,算法利用来自包含更多故障的上游测试的所计算的倾向以确定最终测试的故障率,最终测量可包含少得多的故障。例如,在上游测试工艺结束时,故障率可以更高,这可使产生给出更准确的预测的模型(例如CHAID决策树)变得更容易。可构建模型以确定这个上游工艺的故障率,并产生通过/未通过预测连同可信度和倾向度量。故障预测、可信度和倾向度量可接着用作输入以预测在上游更远处的测试的故障。这可能在测试下游具有较少数量的故障时是特别有用的,使构建准确的模型变得更难。
在一些实施方案中,分类模型的数据处理步骤可包括过采样。例如,如果在训练数据集中有100个未通过的芯片和10,000个通过的芯片,则过采样将意味着复制未通过的芯片的行100次,使得现在有10,000行未通过的芯片。这个平衡集合然后被馈送到模型中。替代地,欠采样将意味着(随机地)选择100通过的芯片并将那连同其它100个未通过的芯片一起馈送到模型中以创建平衡训练集合。这可能是在创建决策树时的重要步骤。
在一些实施方案中,设定关于决策树的叶节点可以多么小的限制,以便导致对训练数据集的过偏置或过拟合模型。
在一些实施方案中,在数据的一部分上训练模型。其接着在数据对训练阶段无判断力的不同部分上被测试。K折交叉验证也可适用于确定模型的稳健性。在套袋算法提升的情况下,可分割训练、测试和验证数据集,其中验证集合是完全无判断力的,而测试集合用于优化模型。
下面是合格率预测算法的示例。输入数据被精炼、变换并组织,如先前所述。输入数据可与每个裸片相关联,或通过使用上文所述的技术映射到特定的裸片。输入数据集可包含在具有每个裸片的相关联输入数据的整个制造工艺中制造的一组裸片。伴随每个裸片的可以是裸片的相关完好状况,即通过或未通过。一般,大部分裸片将通过,但一些裸片在最终测试步骤之后将被确定为未通过。在整个最终测试工艺中,裸片将经历各种测试和可靠性应力(例如烧焊),以及一些裸片将增量地未通过并被移除。模型是使用裸片的完好状况(通过/未通过)作为目标的一种类型的分类模型。在工艺的最终阶段围绕裸片完好状况训练模型的问题是,到这个阶段时,故障的数量通常极低。例如,在最终测试之后的故障的数量可以是1,000,000中的仅有100。大部分分类模型将不能够在用于训练模型的数据集中的这样低数量故障的情况下准确地预测故障。为了减轻这个问题,围绕将具有更多故障的较早的上游测试训练中间模型。平衡技术例如过采样仍然适用于数据集,因为故障的数量相对于通过的数量将是相对低的,例如1,000,000中的10,000个故障。从这个中间模型中,为将继续经历随后的处理的所有剩余通过的裸片产生倾向度量。在裸片达到最终测试的时间为止,来自较早的中间模型的倾向分数用作额外的输入以训练最终故障预测模型。数据集再次被平衡(例如过采样)以确保故障的数量将等于在模型训练集合中的通过的数量。模型的总准确度可改进,如果上游模型的倾向也用作输入。训练、测试、验证和交叉验证技术适用于确定最佳模型。在较早时候描述的技术中尝试各种模型。给出最少数量的假正和/或假负(根据哪个度量对用户来说最重要)的模型将是被选择的模型。一般,用户将对最小化假负(即预测裸片将通过但实际上其未通过)感兴趣,因为这将意味着其可能对较不严格的测试或烧焊错误地确定路线,导致不合格的裸片被运送给消费者,因而增加现场故障的风险。
7.测试和烧焊优化
合格率预测***可用于计算并优化烧焊时间。烧焊时间计算可以是由合格率预测***产生的合格率预测或分类、由合格率预测***计算的可信度或倾向度量和/或实际最终测试结果的函数,如图14中所示出。作为示例,如果合格率由合格率预测***预测为正的且由合格率预测***计算的可信度度量是相对高的值,则烧焊时间可被计算为低于平均值或完全被消除。在另一示例中,如果产品由合格率预测***预测为良好且可信度度量由合格率预测***计算为低,则烧焊时间可被计算为高于平均值。在另一示例中,如果产品由合格率预测***预测为不好,则烧焊时间可被设定到最大值。
合格率预测也可用于优化最终测试。例如,如果产品以高可信度值被预测为良好,则可跳过某些昂贵的测试。在另一示例中,如果合格率预测良好但可信度值低,则可实现比其中合格率预测良好而可信度低的情况更详尽的测试。在又一示例中,如果产品被预测为良好,则可做出决定以完成最严格数量的测试,或可做出决定以放弃进一步的测试和处理并将产品丢弃。
8.其它应用
如本文所讨论,预测分析可用于发现在各种工艺步骤、参数和产品性能之间的关系,接着可利用所述关系来预测并改进产品性能。通过合并机器学习和并行处理的优点,预测分析可找到在输入数据当中的使用其它技术难以发现的复杂相关性。因此,除了预测合格率和校正叠对误差和CD变化以外,如上文所讨论,在半导体制造工艺中可以许多方式使用预测分析以改进性能、质量和合格率并减小成本。算法可用于优化半导体制造中的工艺中的一些或所有工艺。
图15示出本文所述的技术的几个额外的应用1302,包括合格率预测/改进、运行间控制、晶片间控制、实时和原位控制、虚拟计量、故障预测和分类、全工厂的控制和预测维护等。关于合格率,本文公开的技术可预测合格率或识别合格率毁损的根本原因或将参数故障与线内工艺数据联系起来,等等,如在框1304中所示的。关于虚拟计量,本文公开的技术可使用计量设备数据、工艺设备数据和上游数据来预测特定的工艺度量,如在框1306中所示的。关于故障预测和分类,本文公开的技术可使用工艺设备数据和原位计量在工艺设备上对故障分类或检测故障,如在框1308中所示。关于全工厂的控制,本文公开的技术可发现隐藏在工艺数据中的关系,如在框1310中所示。关于预测维护,本文公开的技术可识别不同类型的缺陷的根本原因,并使用线内工艺数据预测未来的缺陷,如在框1312中所示。
在一些实施方案中,虚拟计量可使用算法来预测例如膜厚度和临界尺寸(CD)的计量度量而不必实时地进行实际测量。这可能对吞吐量有大影响且也减小对昂贵的TEM或SEMx部分测量的需要。基于用于训练算法的来自生产设备的传感器数据和所采样晶片的实际计量值,虚拟计量可预测所有晶片的计量值。算法可以是监督学习算法,其中可使用输入数据集和所测量的目标来训练模型。目标可以是待控制的临界尺寸。输入数据可以是上游计量测量或来自工艺设备的数据(例如温度和运行时间)。
识别机器故障或失灵并快速找到故障的根本原因可能在半导体制造中是必不可少的。如果制造工艺中的故障可被更好地检测并解决,则可减少停机时间和废品。这也被称为故障检测与分类(FDC)。如果故障可在其出现之前被预测,则停机时间可被最佳地调度,且甚至可进一步减少废品。因此,算法可用于预测在制造工艺中或在工艺步骤处特定的工具上何时出现故障或缺陷。
在本发明的一些实施方案中,算法可用于确定何时需要对制造设备执行维护。这在半导体制造工艺中被称为预测维护。
9.结论
虽然本发明的前述书面描述使普通技术人员能够制造并使用当前被视为其最佳模式的东西,但普通技术人员将理解并认识到本文的特定实施方案、方法和示例的变化、组合和等效形式的存在。因此,本发明应由上文所述的实施方案、方法和示例限制。

Claims (20)

1.一种用于校正光刻工艺中的误差的方法,其包括:
将半导体晶片的当前生产运行的多个实时输入接收到存储在数据处理装置中的叠对测量模型中,其中,所述多个实时输入包括在所述当前生产运行中从光刻工艺获得的实时输入以及在所述当前生产运行中从在所述光刻工艺之前的至少一个上游工艺获得的实时输入,并且其中,所述叠对测量模型是使用来自先前的生产运行的多个输入数据创建的,并被配置成分析所述输入数据以确定所述输入数据与目标叠对测量的多变量关系,其中,所述叠对测量模型是以晶片几何参数和/或扫描仪参数和/或CD测量和/或膜性质参数和/或其它叠对测量的参数作为输入、以对应的实际叠对误差作为目标通过训练得到的,并且如果预测准确度基于叠对预算和其它考虑因素满足一阈值,则所述叠对测量模型被确定为有效并被部署以预测在与训练和验证晶片共享相同的处理条件的其它生产晶片上的叠对误差,其中,所述多个输入数据包括在所述先前的生产运行中从所述光刻工艺获得的数据和在所述先前的生产运行中从所述至少一个上游工艺获得的数据;
使用所述叠对测量模型评估所述实时输入与所述目标叠对测量的多变量关系,从而产生在用于所述当前生产运行的所述光刻工艺中的所述目标叠对测量的预测;以及
调节所述光刻工艺或所述上游工艺,使得所述目标叠对测量的预测与实际的目标叠对测量相关。
2.根据权利要求1所述的方法,其还包括:
所述叠对测量模型从在所述先前的生产运行中在所述光刻工艺之后的工艺获得额外的输入数据以供在确定所述多变量关系时使用;以及
对于每个生产运行将额外的实时输入从在所述光刻工艺之后的工艺馈送到所述模型中。
3.一种用于校正光刻工艺中的误差的方法,其包括:
在光刻工艺的多个生产运行中从多个晶片获得多个实际叠对测量,其中每个实际叠对测量指示在第一层上形成的第一组特征和在所述第一层上方的第二层上形成的第二组特征之间的偏移;
收集多个输入数据集,从所述多个生产运行之一收集的每一输入数据集包括从所述光刻工艺获得的数据和从至少一个上游工艺获得的数据;
分析从每一生产运行收集的输入数据集,以确定输入数据与所述实际叠对测量的多变量关系;
为每一输入数据集产生所预测的叠对测量;以及
调节所述光刻工艺或所述至少一个上游工艺,使得对于每一输入数据集,所述所预测的叠对测量与所述实际叠对测量相关,
基于所述输入数据的所述分析和对应的叠对测量来创建叠对测量模型,其中,所述叠对测量模型是以晶片几何参数和/或扫描仪参数和/或CD测量和/或膜性质参数和/或其它叠对测量的参数作为输入、以对应的实际叠对误差作为目标通过训练得到的,并且如果预测准确度基于叠对预算和其它考虑因素满足一阈值,则所述叠对测量模型被确定为有效并被部署以预测在与训练和验证晶片共享相同的处理条件的其它生产晶片上的叠对误差。
4.根据权利要求3所述的方法,其还包括:
为晶片生产运行部署所述叠对测量模型,其中实时输入从所述光刻工艺和所述上游工艺被获得并馈送到所述叠对测量模型中;
使用所述叠对测量模型产生所预测的叠对测量;以及
调节所述光刻工艺或所述上游工艺,使得所述所预测的叠对测量与实际叠对测量相关。
5.根据权利要求3所述的方法,其中从所述光刻工艺和所述上游工艺获得的所述数据包括计量和参数数据。
6.根据权利要求5所述的方法,其中来自所述光刻工艺的所述计量和参数数据包括特征临界尺寸、晶片形状、晶片几何形状、膜厚度、膜电阻率、设备沟道长度、设备沟道宽度、设备沟道深度、设备操作阈值和设备电阻。
7.根据权利要求5所述的方法,其中来自所述上游工艺的所述计量和参数数据对于每个上游工艺包括工艺持续时间、工艺温度、工艺压力、工艺频率和光学测量。
8.根据权利要求3所述的方法,其中使用基于图像的叠对或基于衍射的叠对来获得所述叠对测量。
9.根据权利要求3所述的方法,其中所述分析步骤由至少一个机器学习算法执行。
10.根据权利要求3所述的方法,其中所述分析步骤由机器学习算法的组合执行。
11.根据权利要求3所述的方法,其中所述分析步骤由多步骤算法执行。
12.根据权利要求4所述的方法,其还包括:
基于从上游工艺获得的所述数据来创建虚拟计量模型;以及
提供所述虚拟计量模型的输出作为所述叠对测量模型的输入。
13.根据权利要求4所述的方法,其还包括:
获得原位计量数据;以及
提供所述原位计量数据作为所述叠对测量模型的输入。
14.根据权利要求4所述的方法,其还包括:
执行一个或多个所述输入数据集的变换;以及
提供经变换的输入数据作为所述叠对测量模型的输入。
15.根据权利要求4所述的方法,其还包括:
当所述实时输入的第二统计分布已从所述输入数据的第一统计分布改变时规范化所述实时输入。
16.根据权利要求15所述的方法,其中通过确定所述第一统计分布和第二统计分布的z分数来实现所述规范化步骤。
17.一种非暂时性机器可读介质,其上存储有一个或多个指令序列,所述指令当被一个或多个处理器执行时使所述一个或多个处理器执行下列步骤:
在光刻工艺的多个生产运行中从多个晶片获得多个实际叠对测量,其中每个实际叠对测量指示在第一层上形成的第一组特征和在所述第一层上方的第二层上形成的第二组特征之间的偏移;
收集多个输入数据集,从所述多个生产运行之一收集的每一输入数据集包括从所述光刻工艺获得的数据和从至少一个上游工艺获得的数据;
分析从每一生产运行收集的输入数据集,以确定输入数据与所述实际叠对测量的多变量关系;
为每一输入数据集产生所预测的叠对测量;以及
调节所述光刻工艺或所述至少一个上游工艺,使得对于每一输入数据集,所述所预测的叠对测量与所述实际叠对测量相关,
基于所述输入数据的所述分析和对应的叠对测量来创建叠对测量模型,其中,所述叠对测量模型是以晶片几何参数和/或扫描仪参数和/或CD测量和/或膜性质参数和/或其它叠对测量的参数作为输入、以对应的实际叠对误差作为目标通过训练得到的,并且如果预测准确度基于叠对预算和其它考虑因素满足一阈值,则所述叠对测量模型被确定为有效并被部署以预测在与训练和验证晶片共享相同的处理条件的其它生产晶片上的叠对误差。
18.根据权利要求17所述的非暂时性机器可读介质,其还包括使所述一个或多个处理器执行下列步骤的指令:
为晶片生产运行部署所述叠对测量模型,其中实时输入从所述光刻工艺和所述上游工艺被获得并馈送到所述叠对测量模型中;
使用所述叠对测量模型产生所预测的叠对测量;以及
调节所述光刻工艺或所述上游工艺,使得所述所预测的叠对测量与实际叠对测量相关。
19.一种用于校正光刻工艺中的误差的***,其包括:
至少一个处理器;以及
存储器,其耦合到所述处理器,包括可由所述处理器执行的指令,所述指令当由所述处理器执行时使所述处理器:
在光刻工艺的多个生产运行中从多个晶片获得多个实际叠对测量,其中每个实际叠对测量指示在第一层上形成的第一组特征和在所述第一层上方的第二层上形成的第二组特征之间的偏移;
收集多个输入数据集,从所述多个生产运行之一收集的每一输入数据集包括从所述光刻工艺获得的数据和从至少一个上游工艺获得的数据;
分析从每一生产运行收集的所述输入数据集,以确定输入数据与所述实际叠对测量的多变量关系;
为每一输入数据集产生所预测的叠对测量;以及
调节所述光刻工艺或所述至少一个上游工艺,使得对于每一输入数据集,所述所预测的叠对测量与所述实际叠对测量相关,
基于所述输入数据的所述分析和对应的叠对测量来创建叠对测量模型,其中,所述叠对测量模型是以晶片几何参数和/或扫描仪参数和/或CD测量和/或膜性质参数和/或其它叠对测量的参数作为输入、以对应的实际叠对误差作为目标通过训练得到的,并且如果预测准确度基于叠对预算和其它考虑因素满足一阈值,则所述叠对测量模型被确定为有效并被部署以预测在与训练和验证晶片共享相同的处理条件的其它生产晶片上的叠对误差。
20.根据权利要求19所述的***,其还包括使所述处理器执行下列步骤的指令:
为晶片生产运行部署所述叠对测量模型,其中实时输入从所述光刻工艺和所述上游工艺被获得并馈送到所述叠对测量模型中;
使用所述叠对测量模型产生所预测的叠对测量;以及
调节所述光刻工艺或所述上游工艺,使得所述所预测的叠对测量与实际叠对测量相关。
CN201580067927.XA 2014-11-25 2015-11-25 用于半导体制造工艺的经改进工艺控制技术 Active CN107004060B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201462084551P 2014-11-25 2014-11-25
US62/084,551 2014-11-25
US201462091567P 2014-12-14 2014-12-14
US62/091,567 2014-12-14
US201562103946P 2015-01-15 2015-01-15
US62/103,946 2015-01-15
PCT/US2015/062693 WO2016086138A1 (en) 2014-11-25 2015-11-25 Improved process control techniques for semiconductor manufacturing processes

Publications (2)

Publication Number Publication Date
CN107004060A CN107004060A (zh) 2017-08-01
CN107004060B true CN107004060B (zh) 2022-02-18

Family

ID=56010944

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580067927.XA Active CN107004060B (zh) 2014-11-25 2015-11-25 用于半导体制造工艺的经改进工艺控制技术

Country Status (5)

Country Link
US (2) US10734293B2 (zh)
JP (1) JP6751871B2 (zh)
KR (1) KR102521159B1 (zh)
CN (1) CN107004060B (zh)
WO (1) WO2016086138A1 (zh)

Families Citing this family (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10540608B1 (en) * 2015-05-22 2020-01-21 Amazon Technologies, Inc. Dynamically scaled training fleets for machine learning
CN108369412B (zh) * 2015-10-08 2020-10-16 Asml荷兰有限公司 用于控制工业过程的方法和设备
US11580375B2 (en) * 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
US10817800B2 (en) * 2016-01-20 2020-10-27 Robert Bosch Gmbh Value addition dependent data mining techniques for assembly lines
US20170337482A1 (en) * 2016-05-20 2017-11-23 Suraj Sindia Predictive system for industrial internet of things
KR101744194B1 (ko) * 2016-08-19 2017-06-09 인하대학교 산학협력단 반도체 fab 제조공정에서 유클리드 거리를 활용한 웨이퍼 자동 불량 검사 분류 예측 장치 및 방법
JP6645934B2 (ja) * 2016-08-25 2020-02-14 ファナック株式会社 セルコントロールシステム
US9972478B2 (en) * 2016-09-16 2018-05-15 Lam Research Corporation Method and process of implementing machine learning in complex multivariate wafer processing equipment
US10997135B2 (en) 2016-09-16 2021-05-04 Oracle International Corporation Method and system for performing context-aware prognoses for health analysis of monitored systems
WO2018080714A1 (en) * 2016-10-24 2018-05-03 Siemens Aktiengesellschaft House of quality for federated manufacturing apps
DE102016013564B3 (de) * 2016-11-15 2017-09-07 Tdk-Micronas Gmbh Verfahren zur Kontrolle der Qualität von integrierten Bauelementen
KR101917006B1 (ko) * 2016-11-30 2018-11-08 에스케이 주식회사 머신 러닝 기반 반도체 제조 수율 예측 시스템 및 방법
TWI755448B (zh) * 2016-11-30 2022-02-21 美商應用材料股份有限公司 使用神經網路的光譜監測
DE102016225899A1 (de) * 2016-12-21 2018-06-21 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Modifizieren von Abbildungseigenschaften eines optischen Systems für die Mikrolithographie
EP3343294A1 (en) * 2016-12-30 2018-07-04 ASML Netherlands B.V. Lithographic process & apparatus and inspection process and apparatus
EP3352013A1 (en) * 2017-01-23 2018-07-25 ASML Netherlands B.V. Generating predicted data for control or monitoring of a production process
EP3364247A1 (en) * 2017-02-17 2018-08-22 ASML Netherlands B.V. Methods & apparatus for monitoring a lithographic manufacturing process
KR102370339B1 (ko) 2017-02-22 2022-03-04 에이에스엠엘 네델란즈 비.브이. 전산 계측
KR102449586B1 (ko) * 2017-02-24 2022-10-04 에이에스엠엘 네델란즈 비.브이. 기계 학습에 의해 공정 모델들을 결정하는 방법들
JP2019160176A (ja) * 2018-03-16 2019-09-19 ファナック株式会社 部品供給量推定装置及び機械学習装置
EP3396458A1 (en) * 2017-04-28 2018-10-31 ASML Netherlands B.V. Method and apparatus for optimization of lithographic process
US11086229B2 (en) 2017-05-05 2021-08-10 Asml Netherlands B.V. Method to predict yield of a device manufacturing process
US10303829B2 (en) 2017-05-31 2019-05-28 International Business Machines Corporation Automated method for integrated analysis of back end of the line yield, line resistance/capacitance and process performance
US10824137B2 (en) * 2017-06-19 2020-11-03 Panasonic Intellectual Property Management Co., Ltd. Mounting board manufacturing system
US11275361B2 (en) 2017-06-30 2022-03-15 Kla-Tencor Corporation Systems and methods for predicting defects and critical dimension using deep learning in the semiconductor manufacturing process
US10499876B2 (en) * 2017-07-31 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Test key design to enable X-ray scatterometry measurement
KR102382820B1 (ko) * 2017-08-09 2022-04-04 삼성에스디에스 주식회사 공정 관리 방법 및 그 장치
WO2019035854A1 (en) * 2017-08-16 2019-02-21 Kla-Tencor Corporation MACHINE LEARNING IN RELATION TO METROLOGY MEASUREMENTS
TWI783037B (zh) * 2017-09-25 2022-11-11 美商應用材料股份有限公司 使用機器學習方式以產生製程控制參數的半導體製造
JP6884082B2 (ja) * 2017-10-11 2021-06-09 株式会社Screenホールディングス 膜厚測定装置、基板検査装置、膜厚測定方法および基板検査方法
US11049744B2 (en) 2017-10-24 2021-06-29 International Business Machines Corporation Optimizing semiconductor binning by feed-forward process adjustment
KR20190048491A (ko) 2017-10-31 2019-05-09 삼성전자주식회사 식각 효과 예측 방법 및 입력 파라미터 결정 방법
JP7262921B2 (ja) * 2017-11-28 2023-04-24 キヤノン株式会社 情報処理装置、プログラム、リソグラフィ装置、リソグラフィシステム、および物品の製造方法
JP7158846B2 (ja) * 2017-11-30 2022-10-24 キヤノン株式会社 半導体装置および機器
JP6942617B2 (ja) * 2017-11-30 2021-09-29 株式会社日立製作所 データ分析システムおよびデータ分析装置
EP3492985A1 (en) * 2017-12-04 2019-06-05 ASML Netherlands B.V. Method of determining information about a patterning process, method of reducing error in measurement data, method of calibrating a metrology process, method of selecting metrology targets
TWI689724B (zh) 2017-12-15 2020-04-01 財團法人工業技術研究院 晶片溫度計算方法及晶片溫度計算裝置
US11067964B2 (en) * 2018-01-17 2021-07-20 Kymeta Corporation Method to improve performance, manufacturing, and design of a satellite antenna
CN108268899B (zh) * 2018-01-22 2019-03-01 清华大学 一种电子元件的检测方法、装置和设备
EP3746946A1 (en) * 2018-01-31 2020-12-09 ASML Netherlands B.V. Method to label substrates based on process parameters
EP3525509B1 (en) * 2018-02-07 2021-03-31 Rohde & Schwarz GmbH & Co. KG Method and test system for mobile network testing
WO2019159280A1 (ja) * 2018-02-15 2019-08-22 千代田化工建設株式会社 プラント運転条件設定支援システム、学習装置、及び運転条件設定支援装置
US11029359B2 (en) * 2018-03-09 2021-06-08 Pdf Solutions, Inc. Failure detection and classsification using sensor data and/or measurement data
KR102666904B1 (ko) * 2018-03-13 2024-05-20 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리의 모니터링을 위한 기계 학습 시스템들
JP7137943B2 (ja) * 2018-03-20 2022-09-15 株式会社日立ハイテク 探索装置、探索方法及びプラズマ処理装置
KR102648517B1 (ko) * 2018-03-20 2024-03-15 도쿄엘렉트론가부시키가이샤 통합형 반도체 공정 모듈을 포함하는 자기 인식 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법
US11454949B2 (en) * 2018-03-28 2022-09-27 Kla Corporation Auto-correlation of wafer characterization data and generation of composite wafer metrics during semiconductor device fabrication
JP2019179319A (ja) * 2018-03-30 2019-10-17 富士通株式会社 予測モデル作成装置、予測モデル作成方法および予測モデル作成プログラム
US11084225B2 (en) 2018-04-02 2021-08-10 Nanotronics Imaging, Inc. Systems, methods, and media for artificial intelligence process control in additive manufacturing
JP7090243B2 (ja) 2018-05-08 2022-06-24 千代田化工建設株式会社 プラント運転条件設定支援システム、学習装置、及び運転条件設定支援装置
DE102018207882A1 (de) 2018-05-18 2019-11-21 Carl Zeiss Smt Gmbh Vorrichtung und Verfahren zur Analyse eines Elements eines Photolithographieprozesses mit Hilfe eines Transformationsmodells
US11402828B2 (en) * 2018-05-18 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method, system and non-transitory computer-readable medium for reducing work-in-progress
JP7184547B2 (ja) * 2018-06-27 2022-12-06 株式会社Screenホールディングス 補正方法、基板処理装置、及び基板処理システム
CN110660702B (zh) * 2018-06-29 2022-11-29 台湾积体电路制造股份有限公司 覆盖管理方法、覆盖管理***及计算***
JP7305430B2 (ja) * 2018-06-29 2023-07-10 キヤノン株式会社 情報処理装置、プログラム、リソグラフィ装置、リソグラフィシステム、および物品の製造方法
US10964566B2 (en) 2018-06-29 2021-03-30 Taiwan Semiconductor Manufacturing Go., Ltd. Machine learning on overlay virtual metrology
DE102018211099B4 (de) * 2018-07-05 2020-06-18 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Bewerten eines statistisch verteilten Messwertes beim Untersuchen eines Elements eines Photolithographieprozesses
US10657420B2 (en) 2018-07-17 2020-05-19 International Business Machines Corporation Modeling post-lithography stochastic critical dimension variation with multi-task neural networks
WO2020032963A1 (en) 2018-08-10 2020-02-13 Hewlett-Packard Development Company, L.P. Predicting thermal behavior in 3d printers
JP6830464B2 (ja) 2018-09-26 2021-02-17 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法および記録媒体。
JP2020053550A (ja) * 2018-09-27 2020-04-02 株式会社荏原製作所 研磨装置、研磨方法、及び機械学習装置
KR20200039047A (ko) 2018-10-01 2020-04-16 에스케이씨 주식회사 필름 결함 검출 방법 및 시스템
US10705514B2 (en) * 2018-10-09 2020-07-07 Applied Materials, Inc. Adaptive chamber matching in advanced semiconductor process control
CN112912232B (zh) 2018-10-29 2023-03-10 惠普发展公司,有限责任合伙企业 热映射
KR101965605B1 (ko) * 2018-11-02 2019-08-13 주식회사 아이브이웍스 박막 증착 공정을 제어하기 위한 장치, 방법 및 명령을 기록한 기록 매체
EP3807720B1 (en) 2018-11-07 2022-05-11 ASML Netherlands B.V. Method for configuring a semiconductor manufacturing process, a lithographic apparatus and an associated computer program product
EP3654103A1 (en) * 2018-11-14 2020-05-20 ASML Netherlands B.V. Method for obtaining training data for training a model of a semicondcutor manufacturing process
TWI829807B (zh) * 2018-11-30 2024-01-21 日商東京威力科創股份有限公司 製造製程之假想測定裝置、假想測定方法及假想測定程式
US11036202B2 (en) * 2018-12-13 2021-06-15 Lam Research Corporation Real-time health monitoring of semiconductor manufacturing equipment
WO2020141049A1 (en) 2018-12-31 2020-07-09 Asml Netherlands B.V. Method for metrology optimization
WO2020156769A1 (en) * 2019-01-29 2020-08-06 Asml Netherlands B.V. Method for decision making in a semiconductor manufacturing process
US10996572B2 (en) 2019-02-15 2021-05-04 Applied Materials, Inc. Model based dynamic positional correction for digital lithography tools
JP6790154B2 (ja) * 2019-03-07 2020-11-25 東芝デジタルソリューションズ株式会社 協調型学習システム及び監視システム
KR20210134823A (ko) 2019-03-29 2021-11-10 램 리써치 코포레이션 기판 프로세싱 시스템들을 위한 모델 기반 스케줄링
US11994848B2 (en) * 2019-04-09 2024-05-28 Asml Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
JP2020181959A (ja) 2019-04-26 2020-11-05 東京エレクトロン株式会社 学習方法、管理装置および管理プログラム
IL287677B2 (en) * 2019-04-28 2024-06-01 נובה בע מ Manufacturing a semiconductor device with in-line detection for a sensitive area
CN110210718A (zh) * 2019-05-09 2019-09-06 厦门邑通软件科技有限公司 一种基于多维决策树群的提升产品合格率的方法
CN110187499B (zh) * 2019-05-29 2021-10-19 哈尔滨工业大学(深圳) 一种基于神经网络的片上集成光功率衰减器的设计方法
US20200387818A1 (en) * 2019-06-07 2020-12-10 Aspen Technology, Inc. Asset Optimization Using Integrated Modeling, Optimization, and Artificial Intelligence
US11156991B2 (en) 2019-06-24 2021-10-26 Nanotronics Imaging, Inc. Predictive process control for a manufacturing process
CN114096917B (zh) * 2019-07-10 2024-04-16 Asml荷兰有限公司 用于减小模型预测不确定性的模型校准的预测数据选择
US11306572B2 (en) 2019-07-12 2022-04-19 Halliburton Energy Services, Inc. Hydraulic fracturing modelling and control
US11149532B2 (en) 2019-07-12 2021-10-19 Halliburton Energy Services, Inc. Multiple wellbore hydraulic fracturing through a single pumping system
US11340060B2 (en) * 2019-07-23 2022-05-24 Kla Corporation Automatic recipe optimization for overlay metrology system
US20220291590A1 (en) * 2019-08-13 2022-09-15 Asml Netherlands B.V. Modeling method for computational fingerprints
EP4028228A4 (en) 2019-09-10 2023-09-27 Nanotronics Imaging, Inc. SYSTEMS, METHODS AND MEDIA FOR MANUFACTURING PROCESSES
WO2021061541A1 (en) * 2019-09-25 2021-04-01 Lam Research Corporation Systems and methods for autonomous process control and optimization of semiconductor equipment using light interferometry and reflectometry
US11100221B2 (en) 2019-10-08 2021-08-24 Nanotronics Imaging, Inc. Dynamic monitoring and securing of factory processes, equipment and automated systems
WO2021074699A1 (en) * 2019-10-15 2021-04-22 Braskem S.A. Method and system for polypropylene and polypropylene article production modeling using artificial intelligence algorithms
CN110929844A (zh) * 2019-10-31 2020-03-27 南方科技大学 芯片成品率预测方法、装置、设备及存储介质
US20220414499A1 (en) * 2019-11-15 2022-12-29 Semiconductor Energy Laboratory Co., Ltd. Property prediction system for semiconductor element
CN111291928A (zh) * 2020-01-21 2020-06-16 深圳市华星光电半导体显示技术有限公司 显示面板制程参数的优化方法及***
US11435391B2 (en) 2020-01-22 2022-09-06 Nanya Technology Corporation Dual-sided wafer imaging apparatus and methods thereof
US20210230992A1 (en) 2020-01-24 2021-07-29 Halliburton Energy Services, Inc. Fracturing control
US11415971B2 (en) 2020-02-10 2022-08-16 Globalwafers Co., Ltd. Systems and methods for enhanced wafer manufacturing
US11086988B1 (en) 2020-02-28 2021-08-10 Nanotronics Imaging, Inc. Method, systems and apparatus for intelligently emulating factory control systems and simulating response data
WO2021178361A1 (en) * 2020-03-03 2021-09-10 Pdf Solutions, Inc. Predicting die susceptible to early lifetime failure
US11797735B1 (en) * 2020-03-06 2023-10-24 Synopsys, Inc. Regression testing based on overall confidence estimating
EP3901700A1 (en) * 2020-04-20 2021-10-27 ASML Netherlands B.V. Method and apparatus for predicting a process metric associated with a process
EP4127833B1 (en) * 2020-04-02 2024-04-03 ASML Netherlands B.V. Method and apparatus for predicting a process metric associated with a process
JP2023523156A (ja) 2020-04-06 2023-06-02 ノヴァ リミテッド スペクトルに基づく測定およびプロセス制御のための機械学習および深層学習の方法
EP3913435A1 (en) * 2020-05-19 2021-11-24 ASML Netherlands B.V. Configuration of an imputer model
CN115427894A (zh) * 2020-04-20 2022-12-02 Asml荷兰有限公司 插补器模型的配置
JP7424909B2 (ja) 2020-05-18 2024-01-30 株式会社日立製作所 処理条件探索装置および処理条件探索方法
JP7453853B2 (ja) 2020-05-27 2024-03-21 株式会社日立製作所 処理条件決定システムおよび処理条件探索方法
US11066915B1 (en) 2020-06-09 2021-07-20 Bj Energy Solutions, Llc Methods for detection and mitigation of well screen out
US11939853B2 (en) 2020-06-22 2024-03-26 Bj Energy Solutions, Llc Systems and methods providing a configurable staged rate increase function to operate hydraulic fracturing units
US11933153B2 (en) 2020-06-22 2024-03-19 Bj Energy Solutions, Llc Systems and methods to operate hydraulic fracturing units using automatic flow rate and/or pressure control
US11028677B1 (en) 2020-06-22 2021-06-08 Bj Energy Solutions, Llc Stage profiles for operations of hydraulic systems and associated methods
US11466680B2 (en) 2020-06-23 2022-10-11 Bj Energy Solutions, Llc Systems and methods of utilization of a hydraulic fracturing unit profile to operate hydraulic fracturing units
US11967058B2 (en) * 2020-06-24 2024-04-23 Kla Corporation Semiconductor overlay measurements using machine learning
US11289387B2 (en) 2020-07-31 2022-03-29 Applied Materials, Inc. Methods and apparatus for backside via reveal processing
CN114065687A (zh) * 2020-08-07 2022-02-18 奥特斯奥地利科技与***技术有限公司 基于人工智能确定用于制造部件承载件的行动规划
US20220122864A1 (en) * 2020-10-20 2022-04-21 Inference Tech, s.r.o. Smart skip testing method for semiconductor manufacturing
US20220307824A1 (en) * 2020-11-05 2022-09-29 Kla Corporation Systems and Methods for Measurement of Misregistration and Amelioration Thereof
TW202235825A (zh) * 2020-12-09 2022-09-16 英商美特拉斯有限公司 使用基於感測器之機器學習補償質量測量中之誤差的方法
CN112561873B (zh) * 2020-12-11 2022-11-25 上海集成电路装备材料产业创新中心有限公司 一种基于机器学习的cdsem图像虚拟测量方法
CN112541545B (zh) * 2020-12-11 2022-09-02 上海集成电路装备材料产业创新中心有限公司 基于机器学习预测刻蚀工艺后cdsem图像的方法
US11989495B2 (en) * 2020-12-31 2024-05-21 Applied Materials, Inc. Systems and methods for predicting film thickness using virtual metrology
US11656274B2 (en) 2021-02-15 2023-05-23 Kla Corporation Systems and methods for evaluating the reliability of semiconductor die packages
US11853042B2 (en) * 2021-02-17 2023-12-26 Applied Materials, Inc. Part, sensor, and metrology data integration
US20220269184A1 (en) * 2021-02-25 2022-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Machine learning on overlay management
KR20220125208A (ko) * 2021-03-01 2022-09-14 주식회사 히타치하이테크 실험 포인트 추천 장치, 실험 포인트 추천 방법 및 반도체 장치 제조 시스템
US11532525B2 (en) * 2021-03-03 2022-12-20 Applied Materials, Inc. Controlling concentration profiles for deposited films using machine learning
US11782808B2 (en) * 2021-03-25 2023-10-10 Kyndryl, Inc. Chaos experiment execution for site reliability engineering
US20220352041A1 (en) * 2021-04-30 2022-11-03 Kla Corporation High Resolution Profile Measurement Based On A Trained Parameter Conditioned Measurement Model
CN113488414B (zh) * 2021-07-06 2023-10-13 长鑫存储技术有限公司 晶圆生产监控方法、***与电子设备
US11669079B2 (en) * 2021-07-12 2023-06-06 Tokyo Electron Limited Tool health monitoring and classifications with virtual metrology and incoming wafer monitoring enhancements
KR20240063109A (ko) * 2021-09-02 2024-05-09 에이에스엠엘 네델란즈 비.브이. 선택된 패턴 세트를 평가하는 방법
US20230125695A1 (en) * 2021-10-26 2023-04-27 Nanya Technology Corporation Manufacturing method for semiconductor structures
WO2023151973A1 (en) * 2022-02-10 2023-08-17 Asml Netherlands B.V. Systems and methods for generating sem-quality metrology data from optical metrology data using machine learning
US20230297091A1 (en) * 2022-03-16 2023-09-21 Claritrics Inc d.b.a BUDDI AI System and method for predictive analytics for fitness of test plan
US20240037442A1 (en) * 2022-07-26 2024-02-01 Applied Materials, Inc. Generating indications of learning of models for semiconductor processing
WO2024072670A1 (en) * 2022-09-26 2024-04-04 Lam Research Corporation Automated control of process chamber components
US20240142883A1 (en) * 2022-10-31 2024-05-02 Kla Corporation Overlay Estimation Based on Optical Inspection and Machine Learning
CN116467835B (zh) * 2023-02-07 2024-01-26 山东申东发酵装备有限公司 一种啤酒发酵罐监控***

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101939833A (zh) * 2007-08-31 2011-01-05 恪纳腾公司 用于跨晶片区域预测半导体参数的装置和方法
WO2013055906A1 (en) * 2011-10-11 2013-04-18 Kla-Tencor Corporation Optical metrology tool equipped with modulated illumination sources
WO2014172648A1 (en) * 2013-04-19 2014-10-23 Kla-Tencor Corporation On-device metrology

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3751647A (en) 1971-09-22 1973-08-07 Ibm Semiconductor and integrated circuit device yield modeling
US5793650A (en) 1995-10-19 1998-08-11 Analog Devices, Inc. System and method of identifying the number of chip failures on a wafer attributed to cluster failures
KR100200480B1 (ko) 1995-12-21 1999-10-01 윤종용 불량 분석 피드백에 의한 반도체 제조공정 제어방법
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
WO2002065545A2 (en) 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings
US20020192966A1 (en) * 2001-06-19 2002-12-19 Shanmugasundram Arulkumar P. In situ sensor based control of semiconductor processing procedure
US7337019B2 (en) * 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
KR100431329B1 (ko) * 2001-10-11 2004-05-12 삼성전자주식회사 반도체 웨이퍼 오버레이 보정방법
US6610550B1 (en) 2002-04-03 2003-08-26 Advanced Micro Devices Method and apparatus for correlating error model with defect data
US7363099B2 (en) * 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US7117057B1 (en) 2002-09-10 2006-10-03 Taiwan Semiconductor Manufacturing Co. Ltd. Yield patrolling system
US20040167655A1 (en) 2003-02-22 2004-08-26 Scott Middlebrooks Optimal model predictive control of overlay implemented in a ASIC fab
US7089528B2 (en) * 2003-03-11 2006-08-08 International Business Machines Corporation Methods and systems for estimating reticle bias states
US6968253B2 (en) 2003-05-07 2005-11-22 Kla-Tencor Technologies Corp. Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process
US7289214B1 (en) 2004-11-23 2007-10-30 N&K Technology, Inc. System and method for measuring overlay alignment using diffraction gratings
US7184853B2 (en) * 2005-05-18 2007-02-27 Infineon Technologies Richmond, Lp Lithography method and system with correction of overlay offset errors caused by wafer processing
DE102005024915B4 (de) 2005-05-31 2016-09-15 Advanced Micro Devices, Inc. Verfahren und System für eine fortschrittliche Prozesssteuerung mit anlagenabhängigen Maschinenkonstanten
US7842442B2 (en) 2006-08-31 2010-11-30 Advanced Micro Devices, Inc. Method and system for reducing overlay errors within exposure fields by APC control strategies
US20080262769A1 (en) 2007-04-23 2008-10-23 Daniel Kadosh Using multivariate health metrics to determine market segment and testing requirements
US8682466B2 (en) * 2007-05-04 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic virtual metrology for semiconductor wafer result prediction
WO2008151083A1 (en) 2007-05-30 2008-12-11 Kla-Tencor Corporation Feedforward/feedback litho process control of stress and overlay
US7957826B2 (en) 2007-08-21 2011-06-07 International Business Machines Corporation Methods for normalizing error in photolithographic processes
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
US8233494B2 (en) 2008-01-22 2012-07-31 International Business Machines Corporation Hierarchical and incremental multivariate analysis for process control
CN101738991B (zh) * 2008-11-26 2014-09-10 国立成功大学 检测产品品质超规与评估产品实际测量值的方法
KR101395733B1 (ko) * 2009-06-17 2014-05-15 에이에스엠엘 네델란즈 비.브이. 오버레이 측정 방법, 리소그래피 장치, 검사 장치, 처리 장치, 및 리소그래피 처리 셀
EP2392970A3 (en) * 2010-02-19 2017-08-23 ASML Netherlands BV Method and apparatus for controlling a lithographic apparatus
NL2009294A (en) * 2011-08-30 2013-03-04 Asml Netherlands Bv Method and apparatus for determining an overlay error.
US10295993B2 (en) 2011-09-01 2019-05-21 Kla-Tencor Corporation Method and system for detecting and correcting problematic advanced process control parameters
US8468471B2 (en) * 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
NL2009345A (en) * 2011-09-28 2013-04-02 Asml Netherlands Bv Method of applying a pattern to a substrate, device manufacturing method and lithographic apparatus for use in such methods.
US9031684B2 (en) 2011-11-01 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-factor advanced process control method and system for integrated circuit fabrication
US9002498B2 (en) 2012-02-02 2015-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Tool function to improve fab process in semiconductor manufacturing
US10107621B2 (en) * 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US9588441B2 (en) 2012-05-18 2017-03-07 Kla-Tencor Corporation Method and device for using substrate geometry to determine optimum substrate analysis sampling
JP2014072313A (ja) * 2012-09-28 2014-04-21 Toshiba Corp アライメント計測システム、重ね合わせ計測システム及び半導体装置の製造方法
CN104216234B (zh) * 2013-06-05 2016-05-25 中芯国际集成电路制造(上海)有限公司 光刻***光源对称性的检测方法
US9470743B2 (en) 2014-03-04 2016-10-18 Nvidia Corporation Dynamic yield prediction
US9087176B1 (en) 2014-03-06 2015-07-21 Kla-Tencor Corporation Statistical overlay error prediction for feed forward and feedback correction of overlay errors, root cause analysis and process control

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101939833A (zh) * 2007-08-31 2011-01-05 恪纳腾公司 用于跨晶片区域预测半导体参数的装置和方法
WO2013055906A1 (en) * 2011-10-11 2013-04-18 Kla-Tencor Corporation Optical metrology tool equipped with modulated illumination sources
WO2014172648A1 (en) * 2013-04-19 2014-10-23 Kla-Tencor Corporation On-device metrology

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
"Overlay improvements using a real time machine learning algorithm";Schmitt-Weaver E;《SPIE》;20140402;第1-7页 *

Also Published As

Publication number Publication date
CN107004060A (zh) 2017-08-01
JP6751871B2 (ja) 2020-09-09
JP2017536584A (ja) 2017-12-07
US20180358271A1 (en) 2018-12-13
KR102521159B1 (ko) 2023-04-13
KR20170086585A (ko) 2017-07-26
US10734293B2 (en) 2020-08-04
US20160148850A1 (en) 2016-05-26
WO2016086138A1 (en) 2016-06-02

Similar Documents

Publication Publication Date Title
CN107004060B (zh) 用于半导体制造工艺的经改进工艺控制技术
US10430719B2 (en) Process control techniques for semiconductor manufacturing processes
US11714357B2 (en) Method to predict yield of a device manufacturing process
KR102336390B1 (ko) 제품 유닛의 다중-스테이지 처리를 위한 장치 최적화
KR102353216B1 (ko) 측정치 획득 방법, 프로세스 단계 수행 장치, 계측 장치, 디바이스 제조 방법
CN109863456B (zh) 确定图案化过程的校正的方法
US6458605B1 (en) Method and apparatus for controlling photolithography overlay registration
US6614540B1 (en) Method and apparatus for determining feature characteristics using scatterometry
KR20200086366A (ko) 컴퓨테이션 기법 기반 정정 및 제어
KR102603071B1 (ko) 반도체 제조 프로세스에서 수율에 영향을 주는 근본 원인을 결정하기 위한 방법
US6774998B1 (en) Method and apparatus for identifying misregistration in a complimentary phase shift mask process
CN113366390A (zh) 半导体制造过程中的决定方法
KR20220126761A (ko) 리소그래피 매칭 성능의 결정 기술

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right
TA01 Transfer of patent application right

Effective date of registration: 20200721

Address after: California, USA

Applicant after: Pdf decision company

Address before: California, USA

Applicant before: STREAM MOSAIC, Inc.

GR01 Patent grant
GR01 Patent grant