CN106941096B - 具有金属栅电极的半导体器件及其制造方法 - Google Patents

具有金属栅电极的半导体器件及其制造方法 Download PDF

Info

Publication number
CN106941096B
CN106941096B CN201710171110.8A CN201710171110A CN106941096B CN 106941096 B CN106941096 B CN 106941096B CN 201710171110 A CN201710171110 A CN 201710171110A CN 106941096 B CN106941096 B CN 106941096B
Authority
CN
China
Prior art keywords
opening
layer
work
gate electrode
function layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710171110.8A
Other languages
English (en)
Other versions
CN106941096A (zh
Inventor
李达元
许光源
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106941096A publication Critical patent/CN106941096A/zh
Application granted granted Critical
Publication of CN106941096B publication Critical patent/CN106941096B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明提供了一种方法,该方法包括提供衬底,在该衬底上具有第一开口和第二开口。在第一开口中形成阻挡层。在第二开口中形成第二金属栅电极,而阻挡层位于第一开口中。然后,从第一开口中去除阻挡层,并形成第一金属栅电极。在实施例中,这样提供了具有第二栅电极的器件,第二栅电极包括第二功函数层而不包括第一功函数层,并且第一栅电极包括第一功函数层而不包括第二功函数层。本发明还提供了一种具有金属栅电极的半导体器件及其制造方法。

Description

具有金属栅电极的半导体器件及其制造方法
本申请是于2012年07月12日提交的申请号为201210242462.5的名称为“具有金属栅电极的半导体器件及其制造方法”的发明专利申请的分案申请。
技术领域
一般来说,本发明涉及在衬底上形成半导体器件,更具体而言,涉及形成半导体器件的栅极结构。
背景技术
半导体器件的几何结构在尺寸上持续显著降低。现今的制造工艺常规生产具有小于65nm的部件尺寸的器件。然而,持续满足器件需求的同时解决与实施新工艺和设备技术相关的问题已变得更具有挑战性。例如,金属氧化物半导体(MOS)晶体管通常形成具有多晶硅栅电极。多晶硅具有有利的热电阻性质并能够实现自对准源极/漏极结构的形成。
然而,为了持续满足性能需求,一直期望用金属栅电极替换多晶硅栅电极。实现金属栅极的一个工艺被称为“后栅极”或“替换栅极”方法。在这种工艺中,首先形成伪(例如,牺牲)多晶硅栅极,实施与半导体器件相关的各种工艺,随后去除伪栅极,并用金属栅极替换伪栅极。然而,在工艺期间必须小心为得到的金属栅极提供足够的功函数。然而,通常由于加工限制,一个或多个所得器件包括p型功函数金属和n型功函数金属两种类型。例如,NMOSFET含有p型功函数金属以及n型功函数金属。这可能是不利的,这是因为功函数金属的平带电压受到具有相反功函数的金属的影响。因此,期望的是通过选择功函数金属实现阈值电压可控性的半导体器件和/或其制造方法。
发明内容
为了解决现有技术中所存在的问题,根据本发明的一个方面,提供了一种制造半导体器件的方法,包括:提供具有第一区和第二区的衬底;在所述第一区中形成第一栅电极;以及在所述第二区中形成第二栅电极,其中,所述第二栅电极包括第二功函数层而不包括第一功函数层,并且,所述第一栅电极包括所述第一功函数层而不包括所述第二功函数层。
在该方法中,还包括:在所述衬底的所述第一区中形成第一伪栅极结构,并且在所述衬底的所述第二区中形成第二伪栅极结构;以及去除所述第一伪栅极结构和所述第二伪栅极结构,以在所述衬底上设置的层中形成第一开口和第二开口,其中,所述第一栅电极和所述第二栅电极分别形成在所述第一开口和所述第二开口中。
在该方法中,所述第一功函数层是n型功函数层,所述第二功函数层是p型功函数层。
在该方法中,形成所述第一栅电极包括:形成所述第一功函数层,所述第一功函数层具有选自由Ti、Ag、Al、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、和Zr所构成的组的材料。
在该方法中,形成所述第二栅电极包括:形成所述第二功函数层,所述第二功函数层具有选自由TiN、TaN、Ru、Mo、WN、ZrSi2、MoSi2、TaSi2、NiSi2、和WN所构成的组的材料。
在该方法中,在所述第二区中形成所述第二栅电极,包括:直接在栅极介电层上形成所述第二功函数层;以及直接在所述第二功函数层上形成第一填充金属层。
在该方法中,在所述第一区中形成所述第一栅电极,包括:直接在所述栅极介电层上形成所述第一功函数层;以及直接在所述第一功函数层上形成第二填充金属层。
在该方法中,所述第一填充金属层和所述第二填充金属层可具有相同的组分。
在该方法中,还包括:用硬掩模材料填充所述衬底的所述第二区中的开口,其中,在所述第一区中形成所述第一栅电极期间,用所述硬掩模材料填充所述开口;在形成所述第一栅电极之后,从所述开口中去除所述硬掩模材料;以及在去除所述硬掩模材料之后,在所述开口中形成所述第二栅电极的所述第二功函数层。
根据本发明的另一方面,提供了一种方法,包括:提供衬底;在所述衬底上形成第一开口和第二开口;在所述第一开口中形成阻挡层;在所述阻挡层位于所述第一开口中时,在所述第二开口中形成第二金属栅电极;从所述第一开口中去除所述阻挡层;以及在去除所述阻挡层之后,在所述第一开口中形成第一金属栅电极。
在该方法中,形成所述第一开口和所述第二开口包括:形成第一伪栅极结构和第二伪栅极结构;形成介于所述第一伪栅极结构和所述第二伪栅极结构之间的介电层;去除所述第一伪栅极结构,以形成所述第一开口;以及去除所述第二伪栅极结构,以形成所述第二开口。
在该方法中,在所述第一开口中形成所述阻挡层包括:沉积多晶硅、非晶硅、旋涂玻璃、二氧化硅、和氮化硅(Si3N4)中的至少一种。
在该方法中,形成所述阻挡层包括:沉积硬掩模材料并且对所述硬掩模材料实施化学机械抛光(CMP)。
在该方法中,还包括:与在所述第一开口中形成所述阻挡层的同时在所述第二开口中形成所述阻挡层;在所述第一开口中的所述阻挡层上形成掩模元件;以及当所述掩模元件设置在所述第一开口中的所述阻挡层上时,去除所述第二开口中的所述阻挡层。
在该方法中,形成所述第二金属栅电极包括:形成n型功函数层和填充层。
在该方法中,形成所述第一金属栅电极包括:形成p型功函数层。
在该方法中,形成所述第二金属栅电极包括:形成n型功函数层而不形成p型功函数层,并且形成覆盖所述n型功函数层的填充层。
在该方法中,形成所述第一金属栅电极包括:形成p型功函数层而不形成n型功函数层。
根据本发明的又一方面,提供了一种半导体器件,包括:半导体衬底;第一栅极结构,包括第一栅电极;以及第二栅极结构,包括第二栅电极;其中,所述第二栅电极包括第二功函数层而不包括第一功函数层,所述第一栅电极包括所述第一功函数层而不包括所述第二功函数层。
在该半导体器件中,所述第一栅极结构包括栅极介电层和直接设置在所述栅极介电层上的所述第一功函数层;并且所述第二栅极结构包括所述栅极介电层和直接设置在所述栅极介电层上的所述第二功函数层。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明的各方面。应该强调的是,根据工业中的标准实践,对各种部件没有按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增大或缩小。
图1a和图1b提供了示出根据本发明的一个或多个方面制造半导体器件的方法的实施例的流程图。
图2至图16示出了对应于图1的方法的一个或多个步骤的半导体器件的实施例的横截面图。
具体实施方式
应当了解为了实施本发明的不同部件,以下公开内容提供了许多不同的实施例或实例。在下面描述元件和布置的特定实例以简化本发明。当然这些仅仅是实例并不打算限定。再者,在下面的描述中第一部件在第二部件上方或者在第二部件上的形成可以包括其中第一和第二部件以直接接触形成的实施例,并且也可以包括其中可以形成介入第一和第二部件中的额外的部件,使得第一和第二部件可以不直接接触的实施例。类似地,提供空间相对位置术语,如“上方”或“下方”等仅仅是用于简化描述,而不预期用于提供绝对的方位(例如,可以翻转器件以使“顶部”变成“底部”)。为了简明和清楚,可以任意地以不同的比例绘制各种部件。
参考图1,示出了根据本发明的一个或多个方面的采用后栅极方法制造半导体器件的方法100。图2至图16是根据图1的方法的实施例在各个制造阶段的半导体器件200的横截面图。方法100可以提供包括半导体器件的器件,该半导体器件具有用于一种或多种栅极结构的单一类型的功函数金属。例如,方法100可以用于制造包括在其栅极结构中具有n型功函数金属(而不具有p型功函数金属)的n型金属氧化物半导体场效应晶体管(NMOSFET)和在其栅极结构中具有p型功函数金属(而不具有n型功函数金属)的p型金属氧化物半导体场效应晶体管(PMOSFET)的器件。在实施例中,相应的FET不包括相反类型的功函数层。
可以理解。可以通过互补金属氧化物半导体(CMOS)技术工艺流程制造半导体器件200的一部分,因此这些工艺在本文中仅进行简述。而且,半导体器件200可以包括各种其他器件和部件,如其他类型的晶体管如附加晶体管、双极面结型晶体管、电阻器、电容器、二极管、熔丝等,但对这些进行简化以便更好地理解本发明的发明构思。半导体器件200包括多个半导体器件(例如,晶体管),可以互连这些半导体器件以形成集成电路器件。
方法100开始于框102,在框102中,提供半导体衬底。半导体衬底包括多个器件区。在实施例中,多个器件区可以与不同类型的半导体器件相关。例如,第一区可以被确定为包括n型或p型场效应晶体管中的一种。第二区可以被确定为包括n型或p型场效应晶体管中的另一种。在实施例中,多个区中至少两个区的器件被设计成具有不同的栅极堆叠件。例如,两个不同区的器件可以设计成具有不同的层组分,包括不同的功函数、不同的厚度等。在实施例中,第一区被确定为包括n型MOSFET(NMOSFET)。在实施例中,第二区被确定为包括p型MOSFET(PMOSFET)。因此,在第一区和/或第二区中可以适当地掺杂半导体衬底。一个或多个隔离部件可以介入区之间。
半导体衬底可以是硅衬底。可选地,衬底包括另一元素半导体,如锗;化合物半导体,包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟、和/或锑化铟;合金半导体,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、和/或GaInAsP;或其组合。在又一个可选实施例中,衬底是绝缘体上半导体(SOI)。在其他可选实施例中,半导体衬底可以包括掺杂的外延层;梯度半导体层;和/或覆盖另一不同类型半导体层的半导体层,如硅锗层上硅层。衬底可以包括掺杂区,如p-阱、n-阱、或其组合。
参考图2的实例,提供了半导体衬底202。衬底202包含硅。衬底202包括第一区204和第二区206。在实施例中,第一区204包括在其中形成n型金属氧化物半导体场效应晶体管(NMOSFET)的区域。在实施例中,第二区206包括在其中形成p型金属氧化物半导体场效应晶体管(PMOSFET)的区域。
半导体衬底202包括在衬底202上形成的用于隔离衬底区域的隔离结构208。隔离结构208可以由氧化硅、氮化硅、氮氧化硅、氟掺杂硅酸盐玻璃(FSG)、低k介电材料、和/或其他适当的绝缘材料形成。隔离结构208可以是浅沟槽隔离(STI)部件、局部氧化件(例如,LOCOS)、和/或其他适当的隔离结构。在实施例中,隔离结构208是STI部件并通过在衬底202中蚀刻沟槽而形成。然后用隔离材料填充沟槽,接着进行化学机械抛光(CMP)。
然后,方法100继续到框104,在框104中形成伪(或牺牲)层。这些层可以用于形成伪栅极结构。伪栅极结构是在随后将形成金属栅极的位置提供的牺牲结构。这种工艺通常称为后栅极或替换栅极方法。
在实施例中,在框104中,形成伪栅极介电层。伪栅极电介质可以是热生长的氧化物,如二氧化硅(也被称为氧化硅)。可选地,可以通过化学汽相沉积(CVD)、原子层沉积(ALD)、和/或其他适当的工艺形成伪栅极电介质。伪栅极介电层的厚度可以处于约10埃和约100埃之间。
在方法100的可选实施例中,在框104中形成的栅极电介质可能不是牺牲性的,但仍保留在衬底上。在实施例中,栅极电介质可以是高k介电材料。高k介电层可以包括氧化铪(HfO2)。可选地,高k介电层可以任选包括其他高k电介质,如TiO2、HfZrO、Ta2O3、HfSiO4、ZrO2、ZrSiO2、其组合、或其他适当的材料。可以通过原子层沉积(ALD)和/或其他适当的方法形成高k介电层。
参考图3的实施例,在衬底202上形成伪栅极介电层302。在实施例中,伪栅极介电层302是热生长的二氧化硅。
框104进一步包括形成将用于伪栅极结构的伪栅电极材料。例如,通过物理汽相沉积(PVD)或化学汽相沉积(CVD)沉积伪栅电极材料。伪栅电极的示例性材料包括多晶硅、硅、和/或其他适当的材料。参考图4的实例,示出了半导体器件200,该半导体器件200包括形成在衬底202上并且覆盖伪栅极电介质302的伪栅极材料402。通过PVD和CVD可以形成伪栅极材料402,并在整个衬底202上设置伪栅极材料402。在实施例中,伪栅极材料402是多晶硅。
然后,方法100继续到框106,在框106中,形成伪栅极结构。伪栅极结构可以包括伪栅极电介质和伪栅电极材料。例如,可以图案化伪栅极材料(例如,电介质和电极)并且可以将该伪栅极材料蚀刻到一个或者多个伪栅极结构中。
参考图5的实例,形成多个伪栅极结构502。伪栅极结构502包括上面参考图4所述的经图案化的伪栅电极材料402以及上面参考图3所述的伪栅极介电材料302,并且在衬底202上设置伪栅极结构502。可以采用适当的工艺如光刻和蚀刻工艺(例如湿式蚀刻和/或干式蚀刻)形成伪栅极结构502。
在框106中的进一步处理中,在实施例中,如本领域中已知的,在伪栅极结构(或栅极堆叠件)的任一侧上形成隔离件元件。可以通过沉积绝缘材料形成隔离件元件,接着进行各向异性蚀刻以将隔离件元件定型。参考图5的实例,邻近伪栅极结构502形成侧壁隔离件504。侧壁隔离件504可以包含氮化硅、氧化硅、氮氧化硅、和/或其他适当的介电材料。在实施例中,侧壁隔离件504包括多层,例如,衬垫层。
在形成伪栅极结构之后,方法100继续到框108,在框108中,形成源极/漏极区。如在典型的后栅极工艺中,可以在形成侧壁隔离件(例如,低剂量漏极(LDD))之前和/或形成侧壁隔离件之后在邻近伪栅极结构的位置上形成源极/漏极区或其部分。可以通过如离子注入、热扩散的工艺和/或其他适当的工艺形成源极/漏极区。参考图5的实例,在衬底202中形成源极/漏极区508。可以适当地掺杂源极/漏极区508以提供有关的导电性。在实施例中,第一区204中的源极/漏极区508可以提供用于NMOSFET的源极/漏极,并可以是具有n型掺杂剂(例如,n+区)的区域。在实施例中,第二区206中的源极/漏极区508可以提供用于PMOSFET的源极/漏极,并可以是具有p型掺杂剂(例如,p+区)的区域。
在更进一步的处理中,在方法100的实施例中,在介入伪栅极结构之间的半导体衬底上形成层间介电(ILD)层。参考图5的实例,在衬底202上形成ILD层506。可以通过化学汽相沉积(CVD)、高密度等离子体CVD(HDP-CVD)、旋涂沉积、物理汽相沉积(PVD或溅射)、或其他适当的方法形成ILD层506。ILD层506可以包含氧化硅、氮氧化硅、低k材料、和/或其他适当的电介质。可以在衬底202上共形沉积ILD层506,并实施CMP工艺。伪栅极结构502可以用作CMP工艺的平坦化停止件。换句话说,可以在暴露出伪栅极结构的顶面时停止CMP工艺。图5示出了基本上平坦的表面。
然后,方法100继续到框110,在框110中,从衬底去除伪栅极结构。可以通过蚀刻溶液如例如NH4OH、稀HF、和/或其他适当的蚀刻剂去除伪栅极结构。在可选实施例中,可以通过适当的干式蚀刻工艺去除伪栅极结构。示例性蚀刻剂包括氟基和/或氯基的蚀刻剂。伪结构的去除提供了开口,在该开口内将形成金属栅极,如通常在替换栅极工艺中所实施的。伪栅极结构的去除可以包括去除伪栅电极和/或伪栅极介电层。去除伪栅极介电层的示例性工艺包括液相或气相稀HF。参考图6的实例,已从留有开口(或沟槽)602的衬底去除伪栅电极502(在上面描述并在图5中示出)。
然后,方法100继续到框112,在框112中,在衬底上形成界面层。界面层可以包括氧化硅层(SiO2)、氮氧化硅(SiON)、和/或其他适当的介电材料包括天然氧化物、化学氧化物、热氧化物、ALD/CVD氧化物。在实施例中,界面层具有处于约5埃至约15埃范围内的厚度。可以通过化学氧化、热氧化、原子层沉积(ALD)、CVD、和/或其他适当的电介质形成界面层。在其他实施例中,从方法100中省略框112。参考图7的实例,在开口602中的衬底202上形成界面层702。
然后,方法100继续到框114,在框114中,在衬底上形成栅极介电层。栅极介电层可以包含二氧化硅或其他适当的电介质。在实施例中,栅极电介质是高k介电层。(如上面所要注意的,在可选实施例中,方法100可以包括其中未去除在伪栅电极下面形成的栅极电介质的工艺。)可以在衬底的第一区和第二区上同时形成高k栅极介电层。在可选实施例中,在第一区和第二区上可以使用不同的介电材料。高k介电层可以包含氧化铪(HfO2)。可选地,高k介电层可以任选地包含其他高k电介质,如TiO2、HfZrO、Ta2O3、HfSiO4、ZrO2、ZrSiO2、其组合、和/或其他适当的材料。
可以通过原子层沉积(ALD)、化学汽相沉积(CVD)、物理汽相沉积(PVD)、和/或其他适当的方法形成栅极介电层。栅极介电层的厚度可以处于约10埃和约100埃之间。参考图7的实例,高k栅极介电层704形成在衬底202上。
然后,方法100继续到框116,在框116中,在衬底上形成阻挡层。阻挡层也可以称为硬掩模层。可以在栅极介电层上以及在通过去除伪栅极结构提供的开口中形成阻挡层。在实施例中,为了形成阻挡层,在衬底上提供硬掩模材料的共形层。随后,例如采用化学机械抛光(CMP)工艺可以平坦化硬掩模材料。平坦化可以去除ILD层上面的硬掩模材料。栅极介电层可以用作平坦化工艺的蚀刻停止件。所得到的层(阻挡层)包括在通过去除伪栅极结构提供的开口中形成的硬掩模材料。
可以通过CVD、ALD、物理汽相沉积(PVD)、旋涂沉积工艺、和/或其他适当的沉积方法形成阻挡层的硬掩模材料。示例性材料包括多晶硅、非晶硅、Si3N4、SiO2、旋涂玻璃(SOG)、及其组合。
参考图8的实例,在衬底202上形成硬掩模材料802。然后,如上面所述,平坦化硬掩模材料802。图9示出了所得到的阻挡层902。阻挡层902可以包括多晶硅、非晶硅、Si3N4、SiO2、旋涂玻璃(SOG)、及其组合。如图9中所示出的,阻挡层902的顶面与在ILD层506的表面上形成的栅极电介质704基本上共面。
然后,方法100继续到框118,在框118中,在第一器件区上形成掩模元件。掩模元件适用于在处理衬底的暴露区的同时保护部分衬底。在实施例中,掩模元件包括光刻胶。在实施例中,在NMOSFET区上方形成掩模元件。在一些实施例中,掩模元件可以包括附加的硬掩模层。可以通过典型的沉积(例如旋涂)和光刻工艺形成掩模元件。参考图10的实例,在衬底202的第一器件区204上设置掩模元件1002。掩模元件1002可以是光刻胶部件。可以通过沉积光刻胶(例如旋涂)和用于图案化所沉积的光刻胶的光刻工艺包括暴露、烘焙、显影、进一步烘焙和清洗工艺来形成掩模元件1002。
然后,方法100继续到框120,在框120中,从衬底的第二区去除阻挡层。在掩模元件保护第一区中的阻挡层不被去除的同时,去除第二区中的阻挡层。可以通过适当的方法去除阻挡层。这些方法包括NH4OH和稀HF(DHF)溶液、溶剂、磷酸、和干式蚀刻。在实施例中,阻挡层包含多晶硅和/或非晶硅,并通过NH4OH和/或DHF溶液去除。在另一个实施例中,阻挡层包含SiO2或SOG,并通过DHF去除。在又一个实施例中,阻挡层包含SOG,并通过适当的溶剂去除。在实施例中,硬掩模包含Si3N4,并通过磷酸去除。
参考图11的实例,示出了从衬底202的第二区206去除阻挡层902(留有开口1102)的器件200。
在从第二区206去除阻挡层902之后,从衬底去除掩模元件1002(参见图10)。可以通过适当的工艺如光刻胶剥离工艺去除掩模元件1002。
然后,方法100继续到框122,在框122中,在衬底上形成第一功函数层。第一功函数层可以包括第一类型的功函数材料。在实施例中,第一类型的功函数材料是p型功函数金属或p型金属。示例性p型功函数金属包括TiN、TaN、Ru、Mo、WN、ZrSi2、MoSi2、TaSi2、NiSi2、WN、其他适当的p型功函数材料、或其组合。在可选实施例中,第一类型的功函数金属是n型功函数金属。示例性n型功函数金属包括Ti、Ag、Al、TaAl、TaAlC、TaAlN、TaC、TaCN、TaSiN、Mn、Zr、其他适当的n型功函数金属、或其组合。功函数值与功函数层的材料组分相关,并因此,选择第一功函数层材料来调节其功函数以使将在相应的区域中形成的器件中达到期望的阈值电压Vt。可以通过CVD、PVD、和/或其他适当的工艺沉积第一功函数层。
参考图12的实例,在衬底202上设置功函数层1202。在实施例中,功函数层1202提供p型功函数。功函数层1202的示例性组分包括但不限于TiN、TaN、ZrSi2、MoSi2、TaSi2、NiSi2、和WN。功函数层1202的厚度可以处于约10埃和约100埃之间。可以选择功函数层1202(例如,材料和/或厚度)以使其为位于衬底202的区域206中的半导体器件提供适当的功函数。例如,在实施例中,选择功函数层1202以使为PMOSFET半导体器件提供适当的功函数。注意,尽管在开口1102中形成功函数层1202,在开口1102内将形成金属栅极,但是未在衬底的第一区204的栅极区中形成功函数层1202。通过去除第一区204中的伪栅极结构而留下的开口保持填充有阻挡层902。
然后,方法100继续到框124,在框124中,在衬底上形成第一填充金属。填充金属可以包括Al、W、或Cu、和/或其他适当的材料。可以通过CVD、PVD、电镀、和/或其他适当的工艺形成填充金属。可以在上面参考框122描述的功函数层上方沉积填充金属,从而填充通过去除衬底的第二区中的伪栅电极而形成的开口或沟槽的剩余部分。参考图12的实例,在衬底202上形成填充金属1204。填充金属1204填充上面参考图11所述的开口1102的剩余部分。
然后,方法100继续到框126,在框126中,平坦化衬底。平坦化可以从介入器件的栅极结构的电介质(例如,ILD层)上面去除填充金属和/或第一功函数层。参考图13的实例,已平坦化器件200,从ILD层506的表面去除功函数层1202和填充金属1204。因此,在衬底202的第二区206中提供栅极结构1302。栅极结构1302包括界面层702、栅极介电层704、第一功函数层1202和填充层1204。栅极结构1302可以与PMOSFET器件相关。
然后,方法100继续到框128,在框128中,从半导体衬底的第一区去除阻挡层。可以通过适当的方法去除阻挡层(或硬掩模材料)。这些方法包括NH4OH和稀HF(DHF)溶液、溶剂、磷酸、和干式蚀刻。在实施例中,阻挡层包含多晶硅和/或非晶硅,并通过NH4OH和/或DHF溶液去除。在另一个实施例中,阻挡层包括SiO2或SOG,并通过DHF去除。在又一个实施例中,阻挡层包括SOG,并通过适当的溶剂去除。在又一个实施例中,阻挡层包括Si3N4并通过磷酸去除。
参考图14的实例,示出了从衬底202的第一区204去除阻挡层902留下开口1402的器件200。
然后,方法100继续到框130,在框130中,在衬底上形成第二功函数层。第二功函数层可以具有不同于第一功函数层的类型(例如,n型或p型)。例如,第二功函数层可以包含第二类型功函数材料。在实施例中,第二类型功函数金属是n型功函数金属。示例性n型功函数金属包括Ti、Ag、Al、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其他适当的n型功函数材料、或其组合。在实施例中,第二类型功函数材料是p型功函数金属或p型金属。示例性p型功函数金属包括TiN、TaN、Ru、Mo、WN、ZrSi2、MoSi2、TaSi2、NiSi2、WN、其他适当的p型功函数材料、或其组合。如上面参考框122所述,选择第二功函数层材料来调节其功函数以使在相应的区域中将形成的器件中达到期望的阈值电压Vt。可以通过CVD、PVD、和/或其他适当的工艺沉积第二功函数层。
参考图15的实例,在衬底202上设置功函数层1502。功函数层1502可以包括与功函数层1202不同的组分。在实施例中,功函数层1502和功函数层1202具有相反的类型(例如,一个具有n型,一个具有p型)。在实施例中,功函数层1502提供n型功函数。功函数层1502的示例性组分包括但不限于TiAl、TaC、TaAlC、和/或其组合。功函数层1502的厚度可以处于约10埃和约100埃之间。选择功函数层1502(例如材料和/或厚度)以使其对衬底202的区域204中的半导体器件提供适当的功函数。例如,在实施例中,选择功函数层1502以便对区域204的NMOSFET半导体器件提供适当的功函数。
然后,方法100继续到框132,在框132中,在衬底上形成第二填充金属。该填充金属可以包括Al、W、或Cu和/或其他适当的材料。可以通过CVD、PVD、电镀、和/或其他适当的工艺形成填充金属。可以在上面参考框130所述的功函数层上方沉积填充金属,从而填充通过去除衬底第一区中的伪栅电极而形成的开口或沟槽的剩余部分。框132的填充金属可以与上面参考方法100的框124所述的填充金属相同或者不同。参考图15的实例,在衬底202上形成填充金属1504。填充金属1504填充上面参考图14所述的开口1402的剩余部分。
然后,方法100继续到框134,在框134中,平坦化衬底。平坦化可以去除多余的第二功函数层和/或第二填充层,例如,介入器件的栅极结构之间的介电(例如,ILD)层上面的材料。可以采用适当的CMP工艺实施平坦化。参考图16的实例,从位于ILD层506上面的区域去除第二功函数层1502和第二填充层1504。因此,在衬底202的第一区204中形成栅极结构1602。栅极结构1602包括界面层702、栅极介电层704、第二功函数层1502和第二填充层1504。在实施例中,栅极结构1602可以与NMOSFET器件相关。在另一个实施例中,栅极结构1602可以与NMOSFET器件相关,而栅极结构1302与PMOSFET器件相关。尽管图16示出了在ILD506表面上设置的栅极介电层704,但是其他实施例也是可能的,包括其中在框134和/或框126的平坦化工艺期间从ILD 506的表面去除栅极介电层704的那些实施例。
因此,图16示出了具有两个栅极结构(栅极结构1302和栅极结构1602)的器件200,这两个栅极结构具有不同的功函数材料层。功函数层1502为第一区所专有。功函数层1202为第二区所专有。因此,由于对于衬底的第一区和第二区能够单独选择功函数材料,因此方法100的实施例和所得的结构实现了改进的阈值电压控制。方法的实施例还实现了单一功函数材料被用于晶体管的每个栅极结构。
然后,方法100继续到框136,在框136中,实施本文中未详细讨论的器件的其他部件的形成如,例如,生产线后道工序(BEOL)处理和部件(多层互连件)、接触件和/或本领域已知的其他适当的部件。
因此,提供的本发明的较宽泛的实施例之一是一种制造半导体器件的方法,该半导体器件提供了具有第一区和第二区的衬底。形成第一栅电极在第一区中。第二栅电极形成在第二区中。第二栅电极包括第二功函数层而不包括第一功函数层。第一栅电极包括第一功函数层而不包括第二功函数层。
在所描述的另一个实施例中,提供了一种制造半导体器件的方法。该方法包括提供衬底,并且在衬底上形成第一开口和第二开口。在第一开口中形成阻挡层。当阻挡层处于第一开口中时,在第二开口中形成第二金属栅电极。之后,从第一开口中去除阻挡层。在去除阻挡层之后,在第一开口中形成第一金属栅电极。
另外,在实施例中,提供了一种半导体器件。该器件包括:包括了第一栅电极的第一栅极结构以及包括第二栅电极的第二栅极结构。第二栅电极包括第二功函数层而不包括第一功函数层。第一栅电极包括第一功函数层而不包括第二功函数层。在实施例中,第一功函数层直接形成于栅极电介质上,并且填充层可以直接形成于第一功函数层上,提供了包括第一栅电极的栅极结构。类似地,在实施例中,第二功函数层直接形成于栅极电介质上,并且填充层可以直接形成于第二功函数层上,提供了包括第二栅电极的栅极结构。
上面论述了若干实施例的部件,使得本领域普通技术人员可以更好地理解其后的详细描述。本领域普通技术人员应该理解,可以很容易地使用本发明作为基础来设计或更改其他用于达到与这里所介绍实施例相同的目的和/或实现相同优点的工艺和结构。本领域普通技术人员也应该意识到,这种等效构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,可以进行多种变化、替换以及改变。

Claims (17)

1.一种制造半导体器件的方法,包括:
提供具有第一区和第二区的衬底,其中所述第一区包括第一开口并且所述第二区包括第二开口;
以阻挡材料填充所述第二开口并形成阻挡层,其中所述阻挡材料包括非晶硅、旋涂玻璃、二氧化硅、和氮化硅(Si3N4)中的至少一种;
当所述阻挡材料填充所述第二开口时,在所述第一开口中形成第一栅电极,其中在所述第一开口中形成所述第一栅电极包括:
直接在栅极介电层上形成第一功函数层;
直接在所述第一功函数层上形成第一填充金属层;以及
平坦化所述第一填充金属层使得其顶面与所述第二开口中的所述阻挡材料的顶面共面;
在形成所述第一栅电极之后去除所述阻挡材料;以及
在所述第二开口中形成第二栅电极,其中,所述第二栅电极包括第二功函数层并且不包括所述第一功函数层,并且所述第一栅电极包括所述第一功函数层并且不包括所述第二功函数层,并且其中,在所述第二开口中形成所述第二栅电极包括:
直接在所述栅极介电层上形成所述第二功函数层;以及
直接在所述第二功函数层上形成第二填充金属层。
2.根据权利要求1所述的方法,还包括:
在所述衬底的所述第一区中形成第一伪栅极结构,并且在所述衬底的所述第二区中形成第二伪栅极结构;以及
去除所述第一伪栅极结构和所述第二伪栅极结构,以形成所述第一开口和所述第二开口,其中,所述第一开口和所述第二开口形成在设置于所述衬底上的层中。
3.根据权利要求1所述的方法,其中,所述第一功函数层是n型功函数层,所述第二功函数层是p型功函数层。
4.根据权利要求1所述的方法,其中,形成所述第一栅电极包括:形成所述第一功函数层,所述第一功函数层具有选自由Ti、Ag、Al、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、和Zr所构成的组的材料。
5.根据权利要求4所述的方法,其中,形成所述第二栅电极包括:形成所述第二功函数层,所述第二功函数层具有选自由TiN、TaN、Ru、Mo、WN、ZrSi2、MoSi2、TaSi2、NiSi2、和WN所构成的组的材料。
6.根据权利要求1所述的方法,其中,所述第一填充金属层和所述第二填充金属层具有相同的组分。
7.根据权利要求1所述的方法,其中,所述阻挡层包括在所述第二开口中的二氧化硅。
8.根据权利要求1所述的方法,其中,所述阻挡层包括在所述第二开口中的旋涂玻璃(SOG)层。
9.根据权利要求1所述的方法,其中,去除所述阻挡层包括:应用包括NH4OH、稀HF(DHF)或磷酸中的至少一种的溶液或溶剂。
10.一种制造半导体器件的方法,包括:
在衬底上形成第一开口和第二开口;
在所述第一开口中沉积阻挡层,其中阻挡材料选自由旋涂玻璃、二氧化硅、和氮化硅(Si3N4)所构成的组;
平坦化所述阻挡层使得其选自旋涂玻璃、二氧化硅、和氮化硅(Si3N4)中的一种的顶面与所述第一开口的顶面共面;
在所述平坦化之后,在所述阻挡层位于所述第一开口中时,在所述第二开口中形成第二金属栅电极,其中,形成所述第二金属栅电极包括形成n型功函数层;
在形成所述第二金属栅电极之后,从所述第一开口中去除所述阻挡层;以及
在去除所述阻挡层之后,在所述第一开口中形成第一金属栅电极,其中,形成所述第一金属栅电极包括形成p型功函数层。
11.根据权利要求10所述的方法,其中,形成所述第一开口和所述第二开口包括:
形成第一伪栅极结构和第二伪栅极结构;
形成介于所述第一伪栅极结构和所述第二伪栅极结构之间的介电层;
去除所述第一伪栅极结构,以形成所述第一开口;以及
去除所述第二伪栅极结构,以形成所述第二开口。
12.根据权利要求10所述的方法,其中,在所述第一开口中形成所述阻挡层包括沉积氮化硅(Si3N4)。
13.根据权利要求10所述的方法,还包括:
与在所述第一开口中形成所述阻挡层的同时在所述第二开口中形成所述阻挡层;
在所述第一开口中的所述阻挡层上形成掩模元件;以及
当所述掩模元件设置在所述第一开口中的所述阻挡层上时,去除所述第二开口中的所述阻挡层。
14.根据权利要求10所述的方法,其中,形成所述第二金属栅电极包括:形成填充层。
15.根据权利要求14所述的方法,其中,形成所述第一金属栅电极包括:形成p型功函数层。
16.根据权利要求10所述的方法,其中,形成所述第二金属栅电极包括:形成n型功函数层而不形成p型功函数层,并且形成覆盖所述n型功函数层的填充层。
17.根据权利要求16所述的方法,其中,形成所述第一金属栅电极包括:形成所述p型功函数层而不形成n型功函数层。
CN201710171110.8A 2011-10-19 2012-07-12 具有金属栅电极的半导体器件及其制造方法 Active CN106941096B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/276,859 US9337110B2 (en) 2011-10-19 2011-10-19 Semiconductor device having metal gate electrode and method of fabrication thereof
US13/276,859 2011-10-19
CN2012102424625A CN103066021A (zh) 2011-10-19 2012-07-12 具有金属栅电极的半导体器件及其制造方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2012102424625A Division CN103066021A (zh) 2011-10-19 2012-07-12 具有金属栅电极的半导体器件及其制造方法

Publications (2)

Publication Number Publication Date
CN106941096A CN106941096A (zh) 2017-07-11
CN106941096B true CN106941096B (zh) 2019-11-01

Family

ID=48108587

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201710171110.8A Active CN106941096B (zh) 2011-10-19 2012-07-12 具有金属栅电极的半导体器件及其制造方法
CN2012102424625A Pending CN103066021A (zh) 2011-10-19 2012-07-12 具有金属栅电极的半导体器件及其制造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2012102424625A Pending CN103066021A (zh) 2011-10-19 2012-07-12 具有金属栅电极的半导体器件及其制造方法

Country Status (3)

Country Link
US (1) US9337110B2 (zh)
KR (1) KR101423373B1 (zh)
CN (2) CN106941096B (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8987126B2 (en) * 2012-05-09 2015-03-24 GlobalFoundries, Inc. Integrated circuit and method for fabricating the same having a replacement gate structure
FR2995135B1 (fr) * 2012-09-05 2015-12-04 Commissariat Energie Atomique Procede de realisation de transistors fet
US9219155B2 (en) * 2013-12-16 2015-12-22 Intel Corporation Multi-threshold voltage devices and associated techniques and configurations
CN104795362B (zh) * 2014-01-16 2018-03-30 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
US9431398B2 (en) * 2014-04-28 2016-08-30 Infineon Technologies Ag Semiconductor chip having a circuit with cross-coupled transistors to thwart reverse engineering
US9349733B2 (en) * 2014-06-27 2016-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure having spacer with flat top surface and method for forming the same
CN106558547B (zh) * 2015-09-24 2019-12-24 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
CN106847685A (zh) * 2015-12-07 2017-06-13 中芯国际集成电路制造(上海)有限公司 高k金属栅晶体管的形成方法
US9893060B2 (en) * 2015-12-17 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9779997B2 (en) * 2015-12-31 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9960161B2 (en) * 2016-01-12 2018-05-01 International Business Machines Corporation Low resistive electrode for an extendable high-k metal gate stack
CN108630541A (zh) * 2017-03-17 2018-10-09 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
CN107731841A (zh) * 2017-08-29 2018-02-23 长江存储科技有限责任公司 一种改善3d nand闪存seg生长质量的方法
CN107731843A (zh) * 2017-08-29 2018-02-23 长江存储科技有限责任公司 一种提高seg生长高度均一性方法
DE102019123555B4 (de) 2019-09-03 2022-12-01 Infineon Technologies Ag Physisch obfuskierter schaltkreis
CN112466945B (zh) * 2019-09-06 2023-10-20 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102194747A (zh) * 2010-03-03 2011-09-21 中国科学院微电子研究所 形成沟道材料的方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4237332B2 (ja) * 1999-04-30 2009-03-11 株式会社東芝 半導体装置の製造方法
US7338865B2 (en) * 2004-07-23 2008-03-04 Texas Instruments Incorporated Method for manufacturing dual work function gate electrodes through local thickness-limited silicidation
US7585738B2 (en) * 2007-04-27 2009-09-08 Texas Instruments Incorporated Method of forming a fully silicided semiconductor device with independent gate and source/drain doping and related device
US7973413B2 (en) 2007-08-24 2011-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate via for semiconductor device
JP2010021295A (ja) * 2008-07-09 2010-01-28 Nec Electronics Corp 半導体装置およびその製造方法
US8198685B2 (en) * 2008-12-23 2012-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors with metal gate and methods for forming the same
US8367563B2 (en) * 2009-10-07 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for a gate replacement process
DE102009046245B4 (de) * 2009-10-30 2016-08-04 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Herstellung von Metallgateelektrodenstrukturen mit einer separaten Abtragung von Platzhaltermaterialien in Transistoren unterschiedlicher Leitfähigkeitsart
US8334197B2 (en) * 2009-12-16 2012-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating high-k/metal gate device
US8008143B2 (en) * 2009-12-30 2011-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method to form a semiconductor device having gate dielectric layers of varying thicknesses
US8048810B2 (en) * 2010-01-29 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal gate N/P patterning
US8361848B2 (en) * 2010-04-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Precise resistor on a semiconductor device
KR101746709B1 (ko) * 2010-11-24 2017-06-14 삼성전자주식회사 금속 게이트 전극들을 갖는 반도체 소자의 제조방법
US8629531B2 (en) * 2011-02-18 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method to reduce wafer warp for gallium nitride on silicon wafer
US20130082332A1 (en) * 2011-09-30 2013-04-04 Globalfoundries Singapore Pte. Ltd. Method for forming n-type and p-type metal-oxide-semiconductor gates separately

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102194747A (zh) * 2010-03-03 2011-09-21 中国科学院微电子研究所 形成沟道材料的方法

Also Published As

Publication number Publication date
KR20130043046A (ko) 2013-04-29
CN103066021A (zh) 2013-04-24
US9337110B2 (en) 2016-05-10
CN106941096A (zh) 2017-07-11
US20130099320A1 (en) 2013-04-25
KR101423373B1 (ko) 2014-07-24

Similar Documents

Publication Publication Date Title
CN106941096B (zh) 具有金属栅电极的半导体器件及其制造方法
US11942548B2 (en) Multi-gate device and method of fabrication thereof
US10157799B2 (en) Multi-gate device and method of fabrication thereof
CN103021949B (zh) 栅极半导体器件的替换
US9899387B2 (en) Multi-gate device and method of fabrication thereof
CN104835838B (zh) 具有不同宽度的栅极结构及其制造方法
TWI502747B (zh) 半導體元件與其製造方法
TWI382498B (zh) 半導體元件的製造方法
TWI419264B (zh) 製造半導體裝置的方法
KR101376808B1 (ko) 반도체 장치 및 반도체 장치를 형성하는 방법
CN103165674B (zh) 具有多阈值电压的FinFET
US8633536B2 (en) Gate dielectric of semiconductor device
CN108074983A (zh) 多栅极半导体器件及其制造方法
US20160358779A1 (en) Mechanisms for semiconductor device structure
KR20110073214A (ko) 전계 효과 트랜지스터의 금속 게이트 구조
CN105047710A (zh) 用于finfet器件的结构和方法
CN105529357A (zh) 用于FinFET的方法和结构
TWI485843B (zh) 互補式金氧半導體裝置及其製造方法
CN107068757A (zh) Finfet器件及其形成方法
TWI484592B (zh) 具有金屬閘極電晶體與電阻結構之半導體元件及其製作方法之方法
JP2008103613A (ja) 半導体装置及びその製造方法
TW202339013A (zh) 半導體裝置及其製造方法
TW202401825A (zh) 半導體裝置及其製造方法
TW202310163A (zh) 半導體裝置的製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant