CN106328585B - 集成电路制造的方法 - Google Patents
集成电路制造的方法 Download PDFInfo
- Publication number
- CN106328585B CN106328585B CN201510859709.1A CN201510859709A CN106328585B CN 106328585 B CN106328585 B CN 106328585B CN 201510859709 A CN201510859709 A CN 201510859709A CN 106328585 B CN106328585 B CN 106328585B
- Authority
- CN
- China
- Prior art keywords
- layout
- edges
- reconfigurable
- edge
- exposure mask
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000000034 method Methods 0.000 title claims abstract description 218
- 238000004519 manufacturing process Methods 0.000 title claims abstract description 94
- 230000008569 process Effects 0.000 claims abstract description 67
- 238000011156 evaluation Methods 0.000 claims abstract description 32
- 230000003287 optical effect Effects 0.000 claims abstract description 22
- 238000012937 correction Methods 0.000 claims abstract description 19
- 238000001459 lithography Methods 0.000 claims description 36
- 238000005516 engineering process Methods 0.000 claims description 10
- 239000004744 fabric Substances 0.000 claims description 7
- 230000005855 radiation Effects 0.000 claims description 6
- 238000010586 diagram Methods 0.000 claims description 5
- 230000002708 enhancing effect Effects 0.000 claims description 5
- 238000005034 decoration Methods 0.000 claims description 4
- 235000012431 wafers Nutrition 0.000 description 36
- 238000013461 design Methods 0.000 description 34
- 238000000354 decomposition reaction Methods 0.000 description 20
- 238000002360 preparation method Methods 0.000 description 18
- 239000004065 semiconductor Substances 0.000 description 17
- 239000010410 layer Substances 0.000 description 13
- 238000010894 electron beam technology Methods 0.000 description 10
- 239000000463 material Substances 0.000 description 9
- 238000004891 communication Methods 0.000 description 8
- 238000011161 development Methods 0.000 description 8
- 230000015654 memory Effects 0.000 description 7
- 238000003860 storage Methods 0.000 description 7
- 239000000758 substrate Substances 0.000 description 6
- 230000008901 benefit Effects 0.000 description 5
- 239000013078 crystal Substances 0.000 description 5
- 238000005457 optimization Methods 0.000 description 5
- 230000000153 supplemental effect Effects 0.000 description 5
- 238000005520 cutting process Methods 0.000 description 4
- 230000008021 deposition Effects 0.000 description 3
- 230000005611 electricity Effects 0.000 description 3
- 230000006870 function Effects 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- 238000012986 modification Methods 0.000 description 3
- 230000004048 modification Effects 0.000 description 3
- 238000000059 patterning Methods 0.000 description 3
- 238000012545 processing Methods 0.000 description 3
- 238000000926 separation method Methods 0.000 description 3
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 238000004364 calculation method Methods 0.000 description 2
- 230000001413 cellular effect Effects 0.000 description 2
- 239000002131 composite material Substances 0.000 description 2
- 238000006073 displacement reaction Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 238000000609 electron-beam lithography Methods 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 239000010408 film Substances 0.000 description 2
- 239000011229 interlayer Substances 0.000 description 2
- 230000014759 maintenance of location Effects 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 230000010363 phase shift Effects 0.000 description 2
- 230000010287 polarization Effects 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 125000006850 spacer group Chemical group 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 230000001360 synchronised effect Effects 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- 241000251131 Sphyrna Species 0.000 description 1
- AXQKVSDUCKWEKE-UHFFFAOYSA-N [C].[Ge].[Si] Chemical compound [C].[Ge].[Si] AXQKVSDUCKWEKE-UHFFFAOYSA-N 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 230000002238 attenuated effect Effects 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 238000012512 characterization method Methods 0.000 description 1
- 229910052804 chromium Inorganic materials 0.000 description 1
- 239000011651 chromium Substances 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000004590 computer program Methods 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 229910003460 diamond Inorganic materials 0.000 description 1
- 238000005538 encapsulation Methods 0.000 description 1
- 239000005350 fused silica glass Substances 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 238000005286 illumination Methods 0.000 description 1
- 238000007654 immersion Methods 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 238000001764 infiltration Methods 0.000 description 1
- 230000008595 infiltration Effects 0.000 description 1
- 230000010365 information processing Effects 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 230000001788 irregular Effects 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000007639 printing Methods 0.000 description 1
- 239000011540 sensing material Substances 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 238000004088 simulation Methods 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 230000001052 transient effect Effects 0.000 description 1
- 238000005303 weighing Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/39—Circuit design at the physical level
- G06F30/398—Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/68—Preparation processes not covered by groups G03F1/20 - G03F1/50
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/68—Preparation processes not covered by groups G03F1/20 - G03F1/50
- G03F1/70—Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/0203—Particular design considerations for integrated circuits
- H01L27/0207—Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F2119/00—Details relating to the type or aim of the analysis or the optimisation
- G06F2119/18—Manufacturability analysis or optimisation for manufacturability
-
- G—PHYSICS
- G16—INFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR SPECIFIC APPLICATION FIELDS
- G16Z—INFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR SPECIFIC APPLICATION FIELDS, NOT OTHERWISE PROVIDED FOR
- G16Z99/00—Subject matter not provided for in other main groups of this subclass
-
- G—PHYSICS
- G21—NUCLEAR PHYSICS; NUCLEAR ENGINEERING
- G21K—TECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
- G21K5/00—Irradiation devices
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02P—CLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
- Y02P90/00—Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
- Y02P90/02—Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Engineering & Computer Science (AREA)
- Theoretical Computer Science (AREA)
- Manufacturing & Machinery (AREA)
- Evolutionary Computation (AREA)
- Geometry (AREA)
- Preparing Plates And Mask In Photomechanical Process (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
Abstract
一种用于制造集成电路的方法,包含:接收集成电路的标的布局;分解标的布局为多个用于多重图案工艺的次布局;辨别在这些次布局中的可重新设置边缘;分别对这些经修饰的次布局进行光学邻近校正工艺;以及重新设置边缘以增加集成电路的可制造率。在一实施例中,重新设置边缘包含:基于标的工艺选择评估指标;移动一或多个边缘;基于评估指标计算可制造率分数;以及重复移动步骤和计算步骤,直到分数满足门槛值。本发明可增加集成电路上的图案拟真和集成电路的可制造率。
Description
技术领域
本发明实施例涉及一种集成电路制造的方法。
背景技术
半导体集成电路(integrated circuit;IC)产业已经历了指数性的成长。集成电路材料和设计上的技术进展已经产生了数个集成电路世代,且每一世代均具有比其上一世代更小的尺寸和更复杂的电路。在集成电路发展的过程中,功能密度(functionaldensity)(即每一晶片面积的内连接装置(interconnected device)个数)普遍增加,而几何尺寸(即可使用工艺所创造的最小元件或导线)普遍减小。此微缩工艺(scaling downprocess)普遍提供生产效率增加和相关成本降低等好处,但也增加集成电路流程和制造的复杂度。
举例而言,随着光学微影达到其技术上和经济上的限制,多重图案工艺用于制造具有微小关键尺寸(critical dimension;CD)和/或微小间距的图案。在多重图案工艺中,集成电路布局被分解为二或多个次布局。掩膜是为了每一次布局而制造。接着,使用这些二或多个掩膜,以使用光微影来共同制造(或图案化)晶圆,其是藉由在晶圆的同层上使一掩膜的影像与其他掩膜的影像部分重叠(overlap)。这些次布局的实例包含主要/切割布局和心轴(mandrel)/间隔物(spacer)/切割布局等。在多重图案工艺中,仅最佳化单一图案工艺的掩膜并不足够。掩膜组需共同最佳化,以达到这些掩膜中个别图案拟真(patternfidelity)与叠对预算之间的平衡效能表现。
发明内容
本发明的目的在于提供一种集成电路制造的方法,相较于现有技术,其可对掩膜组共同进行最佳化,进而增加集成电路上的图案拟真和集成电路的可制造率。
本发明提出一种方法,此方法包含接收集成电路的标的布局;以及分解标的布局为多个用于多重图案工艺的次布局,其中这些次布局包含多个图案边缘,每一图案边缘在个别自由区域中为可重新设置。此方法更包含这些重新设置图案边缘以增加集成电路的可制造率并产生多个经修饰的次布局;以及储存这些经修饰的次布局至实体计算机可读媒介中,以用于后续集成电路工艺阶段。
本发明另提出一种方法,此方法包含接收集成电路的标的布局;以及分解标的布局为一第一次布局和一第二次布局。第一次布局的多个多边形图案与第二次布局的多个多边形图案部分重叠(overlap)。第一次布局和该第二次布局分别包含多个第一可重新设置边缘和多个第二可重新设置边缘。每一可重新设置边缘与可供其置放的个别自由区域相关。此方法更包含对这些第一可重新设置边缘和这些第二可重新设置边缘进行边缘重新设置工艺,藉以分别产生第一经修饰的次布局和第二经修饰的次布局。边缘重新设置工艺包含:基于标的工艺选择评估指标;在这些第一可重新设置边缘和这些第二可重新设置边缘的一或多个边缘的个别自由区域中移动此一或多个边缘;基于评估指标计算可制造率分数;以及重复移动步骤和计算步骤,直到分数达到局部最大值。此方法更包含使用第一经修饰的次布局以形成第一掩膜;以及使用第二经修饰的次布局以形成第二掩膜。第一掩膜和该第二掩膜是用于对晶圆所进行的第一工艺和第二工艺中,以共同形成集成电路。
本发明另提出一种方法,此方法包含接收集成电路的标的布局;以及分解标的布局为第一次布局和第二次布局,其中第一次布局的部分图案与第二次布局的部分图案重叠。此方法更包含辨别在第一次布局和第二次布局中的多个可重新设置边缘;使每一可重新设置边缘与可供其置放的个别自由区域相关;以及对这些可重新设置边缘进行边缘重新设置工艺,藉以分别产生第一修饰的次布局和第二经修饰的次布局。边缘重新设置工艺包含:基于标的工艺选择评估指标;在这些可重新设置边缘的一或多个边缘的个别自由区域中移动此一或多个边缘;基于评估指标计算可制造率分数;以及重复移动步骤和计算步骤,直到分数满足门槛值。此方法更包含使用第一经修饰的次布局以对晶圆进行第一标的工艺;以及使用第二经修饰的次布局以对该晶圆进行第二标的工艺。第一工艺和第二工艺共同在晶圆上形成集成电路。
本发明的优点在于,藉由在多重图案工艺中分解标的布局为多个次布局,且在晶圆上部分重叠掩膜组的影像,可制造具有最小间距的集成电路,并且可增加所制造的集成电路上的图案拟真。此外,本发明可藉由最佳化后分解数据来共同增加在多重图案工艺中的图案拟真和集成电路的可制造率。
以下结合附图和具体实施例对本发明进行详细描述,但不作为对本发明的限定。
附图说明
为了更完整了解实施例及其优点,现参照结合所附图式所做的下列描述,其中:
图1A为集成电路(integrated circuit;IC)制造是统以及与集成电路制造***相关的集成电路生产流程的简单方框图;
图1B为依据本发明各态样的如图1A所示的掩膜室的详细方框图;
图2显示依据本发明各态样的制造半导体装置的方法的流程图;
图3显示依据本发明一些态样的重新设置图案边缘以最佳化集成电路可制造率的方法的流程图;
图4为依据一些实施例的使用图2和图3的方法制造的示范标的机体电路布局;以及
图5A、图5B、图6A、图6B、图7A至图7D、图8A至图8D和图9绘示依据本发明各态样的图4中的集成电路布局在多重图案工艺中的处理。
其中,附图标记
100 集成电路制造***
120 设计屋
122 集成电路设计布局(或标的布局)
122A、122B 次布局
123、123A、123B、123B-1、123B-2、123B-3 图案
124、124AF、124AR、124BF、124BR 边缘
125、126 区域
127A、127B 箭头
128-1、128-2、128-3 间隔
129 辅助特征
130 掩膜屋
132 数据准备
134 布局分解
136 边缘重新设置
138 工艺模型
144 掩膜生产
150 集成电路制造厂
152 晶圆
160 集成电路装置
180 设计***
182 处理器
184 ***记忆体
186 大容量储存装置
188 通信模块
190 掩膜
192 第一GDSII文件
194 第二GDSII文件
200 方法
202、204、206、208、210、212 操作
302、304、306、308 步骤
具体实施方式
后续说明书提供许多不同实施例或范例,用以达成本发明的不同特征。后续描述的元件及配置的特定范例,是用来简要说明本发明。当然,这些只是范例,并非用来限制本发明。举例而言,在后续说明中,第一特征形成于第二特征上,可能包括的实施例为第一特征及第二特征形成直接接触,以及可能包括的实施例为额外的特征可能形成介于第一及第二特征之间,使得第一及第二特征可能非直接接触。此外,在本发明中,在许多实例中可能重复标号和/或文字。这些重复的使用是以简化和明确说明为目的,其本身并非意指多个实施例和/或讨论的设置之间的关系,除非有特别注明作为意指一关系。
再者,在此可使用空间相关的用语,例如「下方的(underlying)」、「下(below)」、「较下方(lower)」、「上方(overlying)」、「较上方(upper)」及类似用语,使叙述一部件或特征与另一或另一些部件或特征之间如图式所绘示的关系更为容易。这些空间相关的用语意图包括装置除图示所示的方位之外,在不同使用或操作中的额外的方位。另外,装置也可具有其他方位(旋转90度或其他方位),而在此使用的空间相关用语可据此类似方式被解释。
本发明通常有关于制造半导体装置的方法,且别是有关于准备用于掩膜生产或使用多重图案工艺的无掩膜(maskless)光微影的设计数据的方法。在多重图案工艺中,集成电路的标的布局被分解为多个次布局(sub-layout)。掩膜(或倍缩掩膜(reticle))个别被生产为每一次布局,且其建立一组掩膜。晶圆接着使用沉积、曝光、显影和蚀刻等一系列的工艺而以掩膜组来微影图案化(或印刷)。藉由在晶圆上部分重叠掩膜组的影像,可制造具有最小间距的集成电路,此最小间距小于单一曝光显影工艺的光学极限。相似地,多重图案工艺可用以增加所制造的集成电路上的图案拟真(pattern fidelity)。本发明的一目的为藉由最佳化后分解数据来共同增加在多重图案工艺中的图案拟真和集成电路的可制造率,以用于掩膜的生产。本发明的实施例通常对掩膜生产设施和使用无掩膜光微影的集成电路制造厂提供益处。
图1A为集成电路(integrated circuit;IC)制造***100以及与集成电路制造***相关的集成电路生产流程的简单方框图。集成电路制造***100可从本发明的一或多个态样得益。集成电路制造***100包含多个实体(entity),例如设计室(design house)120、掩膜室(mask house)130和集成电路制造厂(IC manufacturer or fab)150。这些个体在有关生产集成电路160的设计、发展、生产循环和/或服务上与另一个体互动。这些个体藉由通信网络连接,此通信网络可以是单一网络或是多样的不同网络,例如私有内部网络(private intranet)和/或网际网络,且可包含有线或是无线通信通道。每一个体可与其他个体互动,且可提供服务予其他个体和/或从其他个体接收服务。设计室120、掩膜室130和集成电路制造厂150的一或多者可由单一公司所拥有,且更可在一共同设施(commonfacility)中共同存在及使用共同的资源。
设计室120产生集成电路设计布局122(在本发明中亦称为标的布局122)。基于将生产的集成电路产品的规范,标的布局122包含多样为了集成电路产品而设计的几何图案(geometrical pattern)。几何图案对应于金属层、氧化层或是半导体层的图案,其组成集成电路160中的各种元件。这些各样的层结合以形成各种集成电路特征。举例而言,标的布局122的一部分包含各种集成电路特征,例如形成在一半导体基板(例如硅晶圆)中以及设置于半导体基板上各种材料层中的主动区(active region)、栅极、源极和漏极、一中间层内连接(interlayer interconnection)的金属线或介层窗(via)、和接合垫(bonding pad)的开口(opening)。标的布局122以具有几何图案信息的一或多个数据文件来表示。举例而言,标的布局122可以GDSII文件格式或DFII文件格式来表示。
标的布局122的一实例如图4所示。参照图4,标的布局122包含多个图案123。在此实施例中,这些图案123为多边形形状。特别地,这些图案123包含矩形形状。在各个实施例中,这些图案123可以是其他形状,例如圆形、椭圆形、环形、六边形…等等。每一图案123被多个边缘124所包围,这些边缘124界定(delineate)这些图案123的边界。这些图案123代表将在晶圆上被制造的集成电路特征。因为一些图案123(例如在区域125内的图案123)具有规则形状和大尺寸,以及在这些图案123之间具有大间隔,故这些图案123容易制造。因此,使用这些图案而在晶圆上形成的集成电路特征更可匹配在标的布局122中的图案形状。换句话说,图案拟真(pattern fidelity)将会是有效的。然而,一些其他的图案123(例如在区域126内的图案)并非如此容易被制造。首先,这些图案之间的间隔可能超过标的制造流程可确实生产的极限。据此,这些图案的图案拟真可能不充足。本发明提供处理设计布局(例如标的布局122)的方法,以增加其图案拟真和可制造率。此方法将在后面的段落中讨论。
请回到图1A,掩膜室130使用标的布局122来生产将用于制造集成电路160的各种层的一或多个掩膜。掩膜室130进行各种任务(task),包含数据准备132和选择性的(optionally)掩膜生产144。在数据准备132中,标的布局122被编译为可藉由掩膜撰写器(mask writer)实体写入的形式。在掩膜生产144中,修饰准备好的设计布局,以符合特定的掩膜制造厂,且之后生产设计布局。在此实施例中,数据准备132和掩膜生产144被描述为两个分开的元件。然而,数据准备132和掩膜生产144可共同被称为掩膜数据准备任务。在一实施例中,集成电路制造***100可使用无掩膜微影技术,例如电子束(electron beam)微影或光学无掩膜微影。在此***中,掩膜生产144被绕过,且数据准备132使标的布局122适合用于特定无掩膜微影技术的晶圆处理。
数据准备132包含布局分解134。在一实施例中,布局分解134利用一或多个多重图案化(multiple patterning)技术来将复杂的布局分解成一组较简单的次布局,或是将密集的布局分解成一组宽松的次布局。较简单的布局和/或宽松的布局更易于被制造。在一实施例中,布局分解134将一布局分解成主要布局(main layout)和切割布局(cut layout)。在集成电路制造厂150中,主要布局(或主要图案)在第一显影曝光中形成在晶圆152上,且切割布局(或切割图案)接着被应用为在第二显影曝光中移除主要图案的多余部分和/或衍生物。集成电路160的最后图案包含主要图案加上衍生物,但不包含切割图案。在其他实施例中,布局分解134将标的布局分解成第一次布局和第二次布局,其包含互相部分重叠的图案。在集成电路制造厂150中,第一次布局的图案在第一微影工艺中形成在晶圆152上,且第二次布局的图案在第二微影工艺中形成在晶圆152的同层上。第一微影工艺的图案和第二微影工艺的图案在晶圆152上部分重叠。集成电路160的最后图案包含图案的部分重叠部分和非重叠部分。
数据准备132更包含边缘重新设置(edge relocation)136,此边缘重新设置136最佳化各种次布局的后分解(post-decomposition)。在一实施例中,布局分解134产生主要次布局和切割次布局,且边缘重新设置136最佳化切割次布局边缘在主要次布局上的接合(landing),使得这些边缘之间具有充足的叠对(overlay)。在其他实施例中,布局分解134产生第一次布局和第二次布局,此第一次布局和此第二次布局部分重叠,且边缘重新设置136最佳化在部分重叠区域中的边缘接合。因此,不只第一次布局和第二次布局的每一者具有充足的用于集成电路制造厂150的工艺视窗,以,并且第一次布局和第二次布局的部分重叠区域亦具有充足的用于集成电路制造厂150的叠对预算(overlay budget)。布局分解134和边缘重新设置136的各个实施例将在之后进一步详细说明。
当在布局分解134和边缘重新设置136进行任务时,工艺模型138可用以执行各种模拟。工艺模型138可基于集成电路制造厂150的实际工艺参数。工艺参数可包含与集成电路制造循环的各种工艺相关的参数、与用于制造集成电路的工具相关的参数、和/或其他工艺的态样。工艺模型138考虑各种工艺效能参数,例如在无掩膜微影中的强度对数斜率(intensity log slope;ILS)、最小关键尺寸(depth of focus;DOF)、掩膜误差增强因子(mask error enhancement factor;MEEF)和数据误差增强因子(data error enhancementfactor;DEEF)、关键尺寸(critical dimension;CD)变异预算(variation budget)、叠对预算、最小区域规则、最小关键尺寸、最小间隔、其他合适的因子或上述的组合。
在实施例中,数据准备132更包含光学邻近校正(optical proximitycorrection;OPC)(图未绘示)。光学邻近校正使用微影增强技术来补偿影像误差(imageerrors),例如可由绕射(diffraction)、干涉(interference)或其他工艺效应所产生的影像误差。光学邻近校正可根据光学模型或规则来增加辅助特征(assist features)至集成电路设计布局122,例如散射条纹(scattering bar)、对线(serif)和/或锤头线(hammerhead),使得在微影工艺后,晶圆上的最后图案可被改良而具增强的分辨率和精确度。光学邻近校正可使用基于模型的(model-based)校正或基于规则的(rule-based)校正。数据准备132可包含进一步的分辨率增强技术(resolution enhancement technique;RET),例如偏轴照射(off-axis illumination)、次分辨率辅助特征(sub-resolutionfeatures)、相位移掩膜(phase-shifting mask)、其他合适的技术或是上述的组合。
应理解的是,上述数据准备132的描述已被简化,以为了清楚说明,且数据准备132可包含额外特征,例如逻辑运算(logic operation;LOP),以根据制造规范来修改集成电路设计布局122。此外,在数据准备132中,应用至集成电路设计布局122的工艺可依据各样的不同顺序来执行。
在数据准备132修饰集成电路设计布局122后,修饰结果储存在一或多个数据文件中,例如储存在GDSII文件格式或DFII文件格式的文件中。此一或多个数据文件包含几何图案,例如代表主要设计图案和/或辅助特征的多边形。数据文件移交至掩膜生产144,或者直接移交至集成电路制造厂150以用于无掩膜微影工艺。在掩膜生产144中,掩膜或掩膜组基于经修饰的集成电路设计布局来生产。举例而言,电子束(electron-beam;e-beam)或多重电子束的机制用以基于经修饰的集成电路设计布局来形成在掩膜(掩膜(photomask)或倍增掩膜)上的图案。掩膜可使用各种技术来形成。在一实施例中,掩膜图案包含不透明区域和透明区域。辐射光束(例如紫外线光束)被不透明区域阻挡且通过透明区域,此辐射光束用以暴露涂布在晶圆上的影像感测材料层(例如光阻)。在一实例中,二元式掩膜(binarymask)包含透明基材(例如熔融石英(fused quartz))和涂布在掩膜的不透明区域中的不透明材料(例如铬)。在其他实例中,可使用相位移技术来生产掩膜。在相位移掩膜中,各种形成在掩膜上的图案中的特征被配置为具有适当的相位差异,以增强分辨率和影像品质。在各种实例中,相位移掩膜可以是如本发明所属技术领域现有的衰减式相位移掩膜(attenuated PSM)或交替式相位移掩膜(alternating PSM)。在一实施例中,掩膜为反射掩膜的极端紫外线(extreme ultraviolet;EUV)掩膜。在此掩膜中,其顶部表面的一些部分反射投影在其上的辐射,以形成集成电路图案的空间影像(aerial image),其将被印刷在一标的上,例如晶圆152。极端紫外线掩膜可结合分辨率增益技术(resolution enhancementtechnique),例如相位移掩膜和/或光学邻近校正。
集成电路制造厂150(例如半导体制造厂)使用掩膜室140所生产的遮罩(掩膜)(或多个遮罩)来制造集成电路160。或者,集成电路制造厂150可使用设计室130准备的数据,以使用一些无掩膜微影技术(例如电子束直写(electron beam direct write;EBDW)微影)来制造集成电路160。集成电路制造厂150为集成电路生产事业体,其可包含许多用以生产多种不同集成电路产品的制造设施。举例而言,可具有用于多个集成电路产品的前端生产(即前端工艺(front-end-of-line;FEOL)生产)的第一制造设施,而第二制造设施可提供用于集成电路产品的内连线(interconnection)和封装的后端生产(即后端工艺(back-end-of-line;BEOL)生产))),且第三制造设施可提供其他服务予制造厂事业体。在此实施例中,使用一或多个微影工艺来制造半导体晶圆152,以形成集成电路160,此微影工艺为例如深紫外线(deep ultraviolet;DUV)微影、浸润(immersion)微影、极端紫外线微影、电子束微影、X射线微影、离子束微影和其他合适的微影工艺。半导体晶圆152包含硅基材或其他具有材料层形成于其上的适当基材。其他适当的基材材料包含另一合适的元素半导体(例如钻石或锗)、化合物半导体(例如碳化硅、砷化铟或磷化铟)或合金半导体(例如碳锗硅,磷砷化镓或磷铟化镓)。半导体晶圆152更可包含各种掺杂区域,介电特征与多层内连接(在后续制造步骤中形成)。遮罩可用于多样工艺。举例而言,遮罩可用于在半导体晶圆152内形成各个掺杂区域的离子布植工艺中、在半导体晶圆152内形成各个蚀刻区域的蚀刻工艺中、和/或其他合适的工艺中。
图1B为依据本发明各态样的如图1A所示的掩膜室130的详细方框图。在绘示的实施例中,掩膜室130包含设计***180,此设计***180可用以进行所述与图1的数据准备132相关的功能。设计***180为信息处理***,例如电脑、伺服器、工作站或其他合适的***。设计***180包含处理器182,此处理器182通信耦接于***记忆体184、大容量储存装置186和通信模块188。***记忆体184提供具有非暂态电脑可读取储存媒体的处理器182,以藉此处理器182来促进电脑指令的执行。***记忆体的实例可包含随机存取记忆体(randomaccess memory;RAM)装置,例如动态随机存取记忆体(dynamic RAM;DRAM)、同步动态随机存取记忆体(synchronous DRAM;SDRAM)、固态记忆体装置和/或本发明所属技术领域现有的多样其他记忆体装置。电脑程序、指令和数据储存于大容量储存装置186中。大容量储存装置186的实例可包含硬碟、光碟、磁光碟、固态储存装置和/或本发明所属技术领域现有的多样其他大容量储存装置。通信模块188可用以与集成电路制造***100中的其他元件(例如设计室120)传递信息,例如集成电路设计布局文件)。通信模块188的实例可包含乙太网络卡、IEEE 802.11WiFi装置、蜂巢式数据无线电装置(cellular data radio)和/或本发明所属技术领域现有的合适装置。
在操作上,设计***180被配置为依据各种不同设计规则和限制来操作集成电路设计布局122。举例而言,在一实施例中,数据准备132可被实施为在设计***180上执行的软体指令。在此实施例中,设计***180从设计室120接收包含标的布局122的第一GDSII文件192。在数据准备132完成后,设计***180传送包含经修饰的布局的第二GDSII文件194至生产一或多个掩膜190的掩膜生产144。在另一实施例中,集成电路设计布局可以其他文件格式(例如DFII、CIF、OASIS或其他合适的文件类型)在集成电路制作***100的元件之间传输。应理解的是,在其他实施例中,设计***180和掩膜室130可包含额外和/或不同的元件。
图2为依据本发明各态样的形成半导体装置的方法200的流程图。依据一实施例,方法200的操作210更绘示于图3中。方法200仅为一实例,且其非用以限制本发明超出在权利要求范围中所明确叙述的范围。额外的操作可在方法200之前、期间或之后提供,且一些用于方法200的额外实施例的所描述的操作可被取代、删除或移动。方法200可藉由在集成电路制造***100中的一或多个实体来实施。特别地,方法200的实施例可在设计***180中实施。方法200结合图1A至图9说明如下。
请参照图2,在操作202中,方法200(图2)接收集成电路设计布局,例如图4所示的集成电路设计布局122。请参照图4,集成电路设计布局122包含多个集成电路图案123。每一图案123为被多个边缘124所围绕的几何形状。集成电路设计布局122包含不同部分或区域,例如区域125和区域126。这些在区域125内的图案123具有规则形状和大尺寸,且这些图案之间具有大间隔。在集成电路制造厂150内,这些图案通常容易在标的光微影工艺中制造。相较之下,由于因为这些在区域126内的图案123的不规则形状和这些图案123之间的较小间隔,这些图案123可代表对光微影工艺的挑战。一些关键尺寸或间隔可能太小而不能被制造。为了解决此问题,方法200使用如下所述的多重图案工艺。
在操作204中,方法200(图2)将标的布局122分解成多个次布局,这些次布局将共同在晶圆152上形成标的集成电路设计布局。在此实施例中,方法200将标的布局122分解成次布局122A和122B,如图5A和图5B所示。请参照图5A,次布局122A包含在区域125内的这些图案123和在区域126内的这些图案123A。这些图案123A为在区域126内的这些图案123的一部分。请参照图5B,次布局122B包含在区域126内的多个图案123B。这些图案123B为在区域126内的这些图案123的一部分。当这些图案123A和这些图案123B部分重叠时,这些图案123A和这些图案123B在区域126内形成这些图案123。因为区域126存在于次布局122A和122B两者中,故区域126亦被称为「部分重叠区域」。同时,因为区域125仅存在于次布局122A和122B的其中一者中,故区域125亦被称为「独立区域」。与标的布局122相比,次布局122A和122B具有较规则的形状,且在这些形状之间具有较大的间隔,藉以提供更佳的可制造率。
在各个实施例中,方法200可分解集成电路设计布局122为两个、三个或多个次布局。分解需考虑包含这些图案123的特征、集成电路制造厂150的标的制造能力和工艺视窗因子等因子。举例而言,图案的特征可包含复杂度、关键尺寸和图案的间隔。举例而言,标的制造能力可包含照射源、光学***极化(polarization)、光学焦点深度、照射强度对数斜率以及在将被制造的晶圆上的薄膜堆叠。举例而言,工艺视窗因子可包含无掩膜微影的关键尺寸变异预算、叠对预算、掩膜误差增强因子和数据误差增强因子、图案的最小区域、图案的最小关键尺寸和图案之间的最小间隔。
标的布局122至次布局122A和122B的分解成初始分解。如此一来,一些图案形状和/或位置可依据评估指标来进一步最佳化。在一实施例中,评估指标包含选自关键尺寸变异预算、叠对预算、焦点深度、强度对数斜率、掩膜误差增强因子、数据误差增强因子、最小区域、最小关键尺寸和最小间隔所构成的群组的一或多个因子。常观察到的是,当一图案(包含其形状、尺寸、间隔等)因一效能因子而被最佳化时,相同的图案可能提升或阻碍其他效能因子。此外,这些图案123A和这些图案123B亦具有相互依存性(inter-dependency)。因此,这些图案123A和这些图案123B的最佳化应共同被考虑,其将在之后讨论。
在操作206中,方法200(图2)辨别在次区域122A和次区域122B中的可重新设置边缘。一些可重新设置边缘的实例如图6A和6B所示。为了简化说明,在图6A和图6B中仅显示这些图案123A和这些图案123B的一部分。
请参照图6A,这些图案123A为次布局122A的将被形成的图案,而这些图案123B仅为了例示目的而显示。这些图案123A和这些图案123B在一些区域中部分重叠。这些图案123A的一些边缘124AR(以虚线绘示于图6A中)在部分重叠区域中。这些边缘称为「可重新设置」边缘,其可在次布局122A和122B互相重叠时在不改变组合布局下沿着箭头127A指示的方向移动至一定的距离。这些图案123A的一些边缘(例如边缘124AF)被固定(非可重新设置)。这些边缘可预期为在光微影图案化工艺后被固定在一定的位置。在实施例中,这些经固定的边缘的位置可藉由光学邻近校正来修饰,以确保其在微影图案化工艺后取得一定的位置。
请参照图6B,这些图案123B的可重新设置边缘124BR是以相似的方式来辨别(图6B中的虚线)。这些图案123A仅为了例示目的而显示。这些边缘124BR可在次布局122A和122B互相重叠时在不改变组合布局下沿着箭头127B指示的方向移动至一定的距离可。相似地,边缘124BF为在次布局122中经固定的边缘的一实例。
在操作208中,方法200(图2)使每一可重新设置边缘与个别自由区域(region offreedom)相关,而为了最佳化目的,边缘将被重新定位。请继续参照图6A和图6B,可重新设置边缘124AR和124BR的自由区域分别由箭头127A和127B的长度所指示。可重新设置边缘124AR和124BR更被部分重叠图案的尺寸限制,亦即可重新设置边缘124AR(124BR)不移动至对应的图案123B(123A)外。因此,可重新设置边缘124AR和124BR的移动具有相互依存性且共同被考虑。
在操作210中,方法200(图2)重新设置一或多个可重新设置边缘124AR和124BR,以为了可制造率而最佳化次布局122A和122B。这些边缘的重新设置被这些边缘的个别自由区域所限制。在一实施例中,操作210涉及多重叠代回圈(iterative loops)。在每一回圈的期间,一些暂定重新设置(tentative relocation)被计画,且标的功能被评估(亦即计算分数),其考虑这些图案和标的工艺的特征。若分数增加,则暂定重新设置被实行为修饰次布局122A和122B。在一实施例中,一旦达到使用者定义的门槛值,即结束叠代回圈,亦即这些经修饰的次布局被认为满足标的工艺的标准。在其他实施例中,叠代回圈在分数达到区域最佳值(local optimum)或全域最佳值(global optimum)时终止。
操作210的一实施例更如图3的流程图所示。请参照图3,操作210基于标的工艺选择评估指标(步骤302)。评估指标可用以建立标的功能。在一实施例中,评估指标包含选自关键尺寸变异预算、叠对预算、焦点深度、强度对数斜率、掩膜误差增强因子、数据误差增强因子(用于无掩膜微影)、最小区域、最小关键尺寸和最小间隔所构成的群组的一或多个因子。在一实施例中,评估指标为经过加权,亦即权重可分配至选自上述的每一效能因子,使得最佳化可提供一定的解决方案空间。在其他实施例中,评估指标包含边缘置放误差(edgeplacement errors;EPE)。进一步地,在此实施例中,操作210会考虑这些经固定的边缘的显影后位置。举例而言,这些可重新设置边缘124AR(图6A)的移动可能影响光学邻近校正如何处理经固定的边缘124AF,其可能依序影响最终微影图案化工艺后的这些经固定的边缘的置放。
请继续参照图3,操作210移动一或多个可重新设置边缘124AR和可重新设置边缘124BR(步骤304),以修饰在次布局122A和次布局122B中的图案。在一实施例中,操作210可固定次布局122A(122B)中的边缘在其初始位置,且仅在次布局122B(122A)中移动这些边缘。在其他实施例中,操作210可同时移动在次布局122A和122B中的边缘。进一步地,操作210可选择性地移动一些边缘,但非移动所有边缘。
图7A至图7C绘示有关次布局122B的这些边缘124BR的三个示范暂定重新设置。请参照图7A,这些边缘124BR被置放在部分重叠(或结合)的图案123A的中间。为了方便说明,得到的图案123B被标记为123B-1。这些图案123B-1具有垂直间隔128-1。请参照图7B,这些边缘124BR被置放在或接近结合边缘123A的一边缘,使得得到的图案123B-2具有与结合边缘123A的最大部分重叠。这些图案123B-2具有垂直间隔128-2,此垂直间隔128-2小于间隔128-1。请参照图7C,这些边缘124BR被置放在或接近结合边缘123A的另一边缘,使得得到的图案123B-3具有具有与结合边缘123A的最小部分重叠123A。这些图案123B-3具有垂直的间隔128-3,此间隔128-3大于间隔128-1。
如图7A至图7C所示,对于标的工艺,这些边缘124BR的不同位置具有不同的含意。举例而言,当次布局122A和122B在光微影工艺期间叠对时,相较于图7B和图7C的排列,图7A的排列提供关键尺寸变异预算和叠对预算的较大的工艺视窗。图7A的排列亦有益于一些其他效能因子。举例而言,相较于图7B的排列,图7A的排列提供较大的间隔,且相较于图7C的排列,图7A的排列提供较大的关键尺寸,故图7A的排列提供较佳的掩膜误差增强因子。因为相同的理由,当最小关键尺寸和/或最小间隔的需求为标的工艺的特殊考量时,图7A的排列提供较佳的性能表现。另一方面,因这些图案123B-2的相对区域较大,图7B的排列而提供较佳的焦点深度,且由于间隔128-3相对较大,图7C的排列提供较佳的强度对数斜率。进一步观察,当最小区域的需求为标的工艺的特殊考量时,相较于图7C的排列,图7A和图7B的排列提供较佳的效能表现。对于给定的标的工艺,可一起考虑一或多个上述效能因子,以计算用于观察不同排列的可制造率的分数(图3的步骤306)。一实例绘示于图7中。
请参照图7D,在所示的实施例中,评估指标包含下列效能因子:关键尺寸变异预算、叠对预算、焦点深度、强度对数斜率、掩膜误差增强因子、最小关键尺寸、最小间隔和最小区域。图7A至图7C的每一排列的每一效能因子给予等级为1至3的分数。每一排列的总分数接着被计算。在所示的实例中,图7A至图7C的三个排列累计的总分数分别为14、9和8。因此,对于此评估指标,图7A的排列相较于图7B和图7C的排列为优选。在一实施例中,可分配不同权重至各种效能因子,其可指引最佳化工艺达成一定的解决方案空间。举例而言,若焦点深度被分配到的权重比其他因子还大,则图7B的排列可累计较图7A和图7C的排列为高的总分数,且因此图7B的排列可被选择为解决方案。
在实施例中,重复移动这些边缘的步骤(图3的步骤304)和计算分数的步骤(图3的步骤306),以为了找出可接受解决方案,此可接受解决方案可以是评估指标的区域最佳值、评估指标的全域最佳值、或者虽然不是区域最佳值或全域最佳值但符合预先定义的门槛值的解决方案。以上说明如图3的步骤308所示。操作210的解决方案空间被次布局122A和122B的相互依存性以及各种效能因子的相互依存性所限制。
在如图7A至图7C所示的实例中,全部的图案为多边形,且这些图案的形状为矩形。进一步地,每一图案包含至多一个可重新设置边缘。此实例简化最佳化工艺。然而,此实例并不限制本发明。在各个实施例中,这些图案可以是任何的多边形形状,例如三角形、五边形和六边形,且可包含凸多边形、非凸多边形(non-convex polygon)、简单多边形和非简单多边形(non-simple polygon)。进一步地,图案可包含二或多个可重新设置边缘或边缘区段。此实例绘示于图8A至图8D中。在图8A中,这些图案123B包含一个可重新设置区域124BR,其移动方向和自由区域分别由双向箭头和虚线表示。在图8B中,这些图案123B包含两个可重新设置区域124BR-1和124BR-2,且每一可重新设置区域仅为边缘的一部分。可重新设置区域124BR-1和可重新设置区域124BR-2具有位于部分重叠区域中的个别自由区域,此部分重叠区域介于图案123B与其结合图案123A之间。在此例中,边缘区段124BR-1和/或124BR-2的重新设置可将图案123B从矩形修饰为五边形或六边形。相似地,图8C的图案123B包含三个可重新设置边缘区段,且图8D的图案123B包含四个可重新设置边缘。再次说明,图8A至图8D并非为限制性的实例。
请回到图2,在发现且实施重新设置边缘的可接受解决方案后,方法200更继续集成电路步骤阶段(操作212)。在一实施例中,方法200将经修饰的次布局122A和122B储存在实体计算机可读媒介中,其可在其他的集成电路步骤阶段中被使用。在一实施例中,对经修饰的次布局122A和122B进行光学邻近校正。光学邻近校正更可修饰图案123A和图案123B,以补偿影像误差,例如从散射、干涉或其他工艺效应产生的影像误差。光学邻近校正可增加辅助特征(例如散射条纹、对线和/或锤头线)至次布局122A和122B,其绘示于图9中。请参照图9,这些图案123A的轮廓(外部边缘)已被修饰,且辅助特征129已被***至次布局122A。虽然图中未显示,次布局122B可被光学邻近校正以相似的方式来修饰。
在一实施例中,方法200继续使用经修饰的次布局122A和122B以形成多个掩膜。在一实施例中,方法200使用经修饰的次布局122A以形成第一掩膜,且使用经修饰的次布局122B以形成第二掩膜。这些掩膜可以是深紫外线掩膜或极端紫外线掩膜,且这些掩膜更可包含多个增强特征(enhancement feature),例如相位移特征(phase-shifting feature)。在一实施例中,方法200更使用这些掩膜进行光微影工艺,以形成集成电路160。举例而言,方法200使用第一掩膜以对晶圆进行第一光微影工艺,且接着使用第二掩膜以对相同的晶圆进行第二光微影工艺。在第一光微影工艺中,在晶圆上的材料层以经修饰的次布局122A且经由沉积、曝光、显影和蚀刻工艺的一或多个工艺而被图案化。在第二光微影工艺中,相同的材料层接着以经修饰的次布局122B且经由沉积、曝光、显影和蚀刻工艺的一或多个工艺而被图案化。因此,第一光微影工艺和第二光微影工艺共同图案化材料层,以形成集成电路布局,此集成电路布局接近匹配图4的标的布局122。
在其他实施例中,方法200继续后续集成电路工艺,以在不生产掩膜下(即无掩膜微影)使用经修饰的次布局122A和122B来图案化晶圆。举例而言,方法200可使用电子束直写(electron beam direct write;EBDW)技术来图案化晶圆。就这方面而言,方法200使用经修饰的次布局122A来对晶圆进行第一无掩膜微影工艺,且后续使用经修饰的次布局122B来对晶圆进行第二无掩膜微影工艺。第一无掩膜微影工艺和第二无掩膜微影工艺共同形成集成电路特征,此集成电路特征接近匹配图4的标的布局122。
虽非意于限制,本发明的一或多个实施例提供许多制造半导体装置的益处。举例而言,本发明的实施例可在多重图案工艺中实施,以将复杂的布局分解成一组较简单的布局,且更共同最佳化这些较简单的布局。因此,本发明可增加复杂的布局的可制造率。
在一示范态样中,本发明是指一种方法。此方法包含接收集成电路的标的布局;以及分解标的布局为多个用于多重图案工艺的次布局,其中这些次布局包含多个图案边缘,每一图案边缘在个别自由区域中为可重新设置。此方法更包含这些重新设置图案边缘以增加集成电路的可制造率并产生多个经修饰的次布局;分别对这些经修饰的次布局进行光学邻近校正工艺;以及储存这些经修饰的次布局至实体计算机可读媒介中,以用于后续集成电路工艺阶段。
在另一示范态样中,本发明是指一种方法。此方法包含接收集成电路的标的布局;以及分解标的布局为一第一次布局和一第二次布局。第一次布局的多个多边形图案与第二次布局的多个多边形图案部分重叠。第一次布局和该第二次布局分别包含多个第一可重新设置边缘和多个第二可重新设置边缘。每一可重新设置边缘与可供其置放的个别自由区域相关。此方法更包含对这些第一可重新设置边缘和这些第二可重新设置边缘进行边缘重新设置工艺,藉以分别产生第一经修饰的次布局和第二经修饰的次布局。边缘重新设置工艺包含:基于标的工艺选择评估指标;在这些第一可重新设置边缘和这些第二可重新设置边缘的一或多个边缘的个别自由区域中移动此一或多个边缘;基于评估指标计算可制造率分数;以及重复移动步骤和计算步骤,直到分数达到局部最大值。此方法更包含使用第一经修饰的次布局以形成第一掩膜;以及使用第二经修饰的次布局以形成第二掩膜。第一掩膜和该第二掩膜是用于对晶圆所进行的第一工艺和第二工艺中,以共同形成集成电路。
在另一示范态样中,本发明是指一种方法。此方法包含接收集成电路的标的布局;以及分解标的布局为第一次布局和第二次布局,其中第一次布局的部分图案与第二次布局的部分图案重叠。此方法更包含辨别在第一次布局和第二次布局中的多个可重新设置边缘;使每一可重新设置边缘与可供其置放的个别自由区域相关;以及对这些可重新设置边缘进行边缘重新设置工艺,藉以分别产生第一修饰的次布局和第二经修饰的次布局。边缘重新设置工艺包含:基于标的工艺选择评估指标;在这些可重新设置边缘的一或多个边缘的个别自由区域中移动此一或多个边缘;基于评估指标计算可制造率分数;以及重复移动步骤和计算步骤,直到分数满足门槛值。此方法更包含使用第一经修饰的次布局以对晶圆进行第一标的工艺;以及使用第二经修饰的次布局以对该晶圆进行第二标的工艺。第一工艺和第二工艺共同在晶圆上形成集成电路。
前述说明摘要多个实施例的特征,使得熟习此技艺者可以更了解本发明的态样。熟习此技艺者应知其可以轻易地利用本发明作为一基础,以进行设计或修改其他工艺及结构,用以达成相同目的,和/或达成与在此提出实施例的相同态样。熟习此技艺者也应可理解,这些等效的结构并不脱离本发明的精神与范围,而且在不脱离本发明的精神与范围下,可以做各种变更,替代及润饰。
Claims (19)
1.一种集成电路制造的方法,其特征在于,包含:
接收一集成电路的一标的布局;
分解该标的布局为用于一多重图案工艺的多个次布局,其中该些次布局包含多个图案边缘,每一该些图案边缘在一个别自由区域中为可重新设置;
重新设置该些图案边缘以增加该集成电路的可制造率并产生多个经修饰的次布局,重新设置该些图案边缘包含:
基于一标的工艺选择一评估指标;
进行一移动步骤,以在该些图案边缘的一或多个图案边缘的个别自由区域中移动该一或多个图案边缘;
进行一计算步骤,以基于该评估指标计算一分数;以及
重复该移动步骤和该计算步骤,直到该分数满足一门槛值;以及
储存该些经修饰的次布局至一实体计算机可读媒介中,以用于后续集成电路工艺阶段。
2.根据权利要求1所述的集成电路制造的方法,其特征在于,该评估指标包含选自关键尺寸变异预算、叠对预算、焦点深度、强度对数斜率、掩膜误差增强因子、数据误差增强因子、最小区域、最小关键尺寸、以及最小间隔所构成的群组的一或多个因子。
3.根据权利要求2所述的集成电路制造的方法,其特征在于,该评估指标为经过加权。
4.根据权利要求1所述的集成电路制造的方法,其特征在于,当该分数达到一局部最大值时,该分数满足该门槛值。
5.根据权利要求1所述的集成电路制造的方法,其特征在于,该分数是由计算该些经修饰的次布局整体而得,且该门槛值是经定义而用于该些经修饰的次布局整体。
6.根据权利要求1所述的集成电路制造的方法,其特征在于,该些经修饰的次布局包含一第一经修饰的次布局及一第二经修饰的次布局,且该方法更包含:
使用该第一经修饰的次布局以形成一第一掩膜;
使用该第二经修饰的次布局以形成一第二掩膜;
使用该第一掩膜以对一晶圆进行一第一光微影工艺;以及
使用该第二掩膜以对该晶圆进行一第二光微影工艺。
7.根据权利要求6所述的集成电路制造的方法,其特征在于,该第一光微影工艺及该第二光微影工艺的每一者使用一紫外线微影工艺和一极端紫外线微影工艺中的一者。
8.根据权利要求1所述的集成电路制造的方法,其特征在于,该些经修饰的次布局包含一第一经修饰的次布局及一第二经修饰的次布局,且该方法更包含:
使用该第一经修饰的次布局以对一晶圆进行一第一无掩膜光微影工艺;以及
使用该第二经修饰的次布局以对该晶圆进行一第二无掩膜光微影工艺。
9.根据权利要求1所述的集成电路制造的方法,其特征在于,该些经修饰的次布局包含一主要布局及一切割布局。
10.根据权利要求1所述的集成电路制造的方法,其特征在于,更包含:
分别对该些经修饰的次布局进行光学邻近校正工艺。
11.一种集成电路制造的方法,其特征在于,包含:
接收一集成电路的一标的布局;
分解该标的布局为一第一次布局和一第二次布局,其中该第一次布局的多个多边形图案与该第二次布局的多个多边形图案部分重叠,该第一次布局和该第二次布局分别包含多个第一可重新设置边缘和多个第二可重新设置边缘,且每一该些第一可重新设置边缘和第二可重新设置边缘与可供其置放的一个别自由区域相关;
对该些第一可重新设置边缘和该些第二可重新设置边缘进行一边缘重新设置工艺,藉以分别产生一第一经修饰的次布局和一第二经修饰的次布局,其中该边缘重新设置工艺包含:
基于一标的工艺选择一评估指标;
进行一移动步骤,以在该些第一可重新设置边缘和该些第二可重新设置边缘的一或多个边缘的个别自由区域中移动该一或多个边缘;
进行一计算步骤,以基于该评估指标计算一可制造率分数;以及
重复该移动步骤和该计算步骤,直到该分数达到一局部最大值;
使用该第一经修饰的次布局以形成一第一掩膜;以及
使用该第二经修饰的次布局以形成一第二掩膜,其中该第一掩膜和该第二掩膜是用于对一晶圆所进行的一第一工艺和一第二工艺中,以共同形成该集成电路。
12.根据权利要求11所述的集成电路制造的方法,其特征在于,该评估指标包含多个边缘置放误差,该些边缘置放误差是用于多个不可重新设置的边缘。
13.根据权利要求11所述的集成电路制造的方法,其特征在于,该评估指标包含选自关键尺寸变异预算、叠对预算、焦点深度、强度对数斜率、掩膜误差增强因子、数据误差增强因子、最小区域、最小关键尺寸、以及最小间隔所构成的群组的一或多个因子。
14.根据权利要求11所述的集成电路制造的方法,其特征在于,移动该一或多个边缘包含:
在该些第一可重新设置边缘的个别初始位置中固定该些第一可重新设置边缘;以及
在该些第二可重新设置边缘的个别自由区域中移动该些第二可重新设置边缘。
15.根据权利要求11所述的集成电路制造的方法,其特征在于,每一该些第一次布局和该些第二次布局的一多边形图案是一矩形。
16.根据权利要求11所述的集成电路制造的方法,其特征在于,每一该些第一次布局和该些第二次布局的一多边形图案包含至多一可重新设置边缘。
17.根据权利要求11所述的集成电路制造的方法,其特征在于,更包含:
在形成该第一掩膜前对该第一经修饰的次布局进行一第一光学邻近校正工艺;以及
在形成该第二掩膜前对该第二经修饰的次布局进行一第二光学邻近校正工艺。
18.一种集成电路制造的方法,其特征在于,包含:
接收一集成电路的一标的布局;
分解该标的布局为一第一次布局和一第二次布局,其中该第一次布局的部分图案与该第二次布局的部分图案部分重叠;
辨别在该第一次布局和该第二次布局中的多个可重新设置边缘;
使每一该些可重新设置边缘与可供其置放的一个别自由区域相关;
对该些可重新设置边缘进行一边缘重新设置工艺,藉以分别产生一第一经修饰的次布局和一第二经修饰的次布局,其中该边缘重新设置工艺包含:
基于一标的工艺选择一评估指标;
进行一移动步骤,在该些可重新设置边缘的一或多个边缘的个别自由区域中移动该一或多个边缘;
进行一计算步骤,基于该评估指标计算一可制造率分数;以及
重复该移动步骤和该计算步骤,直到该分数满足一门槛值;
使用该第一经修饰的次布局以对一晶圆进行一第一标的工艺;以及
使用该第二经修饰的次布局以对该晶圆进行一第二标的工艺。
19.根据权利要求18所述的集成电路制造的方法,其特征在于,该评估指标包含选自关键尺寸变异预算、叠对预算、焦点深度、强度对数斜率、掩膜误差增强因子、数据误差增强因子、最小区域、最小关键尺寸、以及最小间隔所构成的群组的一或多个因子。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/754,769 | 2015-06-30 | ||
US14/754,769 US9672320B2 (en) | 2015-06-30 | 2015-06-30 | Method for integrated circuit manufacturing |
Publications (2)
Publication Number | Publication Date |
---|---|
CN106328585A CN106328585A (zh) | 2017-01-11 |
CN106328585B true CN106328585B (zh) | 2019-04-12 |
Family
ID=57684261
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201510859709.1A Active CN106328585B (zh) | 2015-06-30 | 2015-11-30 | 集成电路制造的方法 |
Country Status (4)
Country | Link |
---|---|
US (1) | US9672320B2 (zh) |
KR (1) | KR101882060B1 (zh) |
CN (1) | CN106328585B (zh) |
TW (1) | TWI587075B (zh) |
Families Citing this family (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9984920B2 (en) * | 2016-07-11 | 2018-05-29 | International Business Machines Corporation | Design-aware pattern density control in directed self-assembly graphoepitaxy process |
NL2019502B1 (en) * | 2016-09-08 | 2018-08-31 | Mapper Lithography Ip Bv | Method and system for fabricating unique chips using a charged particle multi-beamlet lithography system |
US10303829B2 (en) * | 2017-05-31 | 2019-05-28 | International Business Machines Corporation | Automated method for integrated analysis of back end of the line yield, line resistance/capacitance and process performance |
US10418245B2 (en) | 2017-07-31 | 2019-09-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for integrated circuit manufacturing with directed self-assembly (DSA) |
JP7438105B2 (ja) | 2017-09-27 | 2024-02-26 | エーエスエムエル ネザーランズ ビー.ブイ. | デバイス製造方法の制御パラメータを決定する方法、コンピュータプログラム、および、基板にデバイスを製造するためのシステム |
US10783290B2 (en) * | 2017-09-28 | 2020-09-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | IC manufacturing recipe similarity evaluation methods and systems |
US10495967B2 (en) | 2017-09-28 | 2019-12-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of mask simulation model for OPC and mask making |
US10895813B2 (en) | 2017-11-01 | 2021-01-19 | Asml Holding N.V. | Lithographic cluster, lithographic apparatus, and device manufacturing method |
CN108062010B (zh) * | 2017-11-28 | 2020-04-24 | 上海华力微电子有限公司 | 一种基于光学临近效应修正减少栅极波动的方法 |
KR102525162B1 (ko) | 2017-12-18 | 2023-04-24 | 삼성전자주식회사 | Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법 |
US10866508B2 (en) * | 2018-05-18 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company Ltd. | Method for manufacturing photomask and semiconductor manufacturing method thereof |
KR20210027742A (ko) | 2019-09-03 | 2021-03-11 | 삼성전자주식회사 | 반도체 장치 및 레이아웃 설계 방법 |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN1954541A (zh) * | 2004-03-19 | 2007-04-25 | Magiq技术公司 | Qkd***的激光器自动校准 |
CN102880733A (zh) * | 2011-07-13 | 2013-01-16 | 台湾积体电路制造股份有限公司 | 由展平的图形数据库***布局进行层次重建的***和方法 |
Family Cites Families (38)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4918614A (en) * | 1987-06-02 | 1990-04-17 | Lsi Logic Corporation | Hierarchical floorplanner |
US5182718A (en) * | 1989-04-04 | 1993-01-26 | Matsushita Electric Industrial Co., Ltd. | Method and apparatus for writing a pattern on a semiconductor sample based on a resist pattern corrected for proximity effects resulting from direct exposure of the sample by a charged-particle beam or light |
KR0120551B1 (ko) | 1994-02-08 | 1997-10-20 | 김주용 | 반도체소자의 사진 공정마진 검사방법 |
US6563566B2 (en) | 2001-01-29 | 2003-05-13 | International Business Machines Corporation | System and method for printing semiconductor patterns using an optimized illumination and reticle |
US6957411B1 (en) * | 2001-06-03 | 2005-10-18 | Cadence Design Systems, Inc. | Gridless IC layout and method and apparatus for generating such a layout |
US6957408B1 (en) * | 2002-01-22 | 2005-10-18 | Cadence Design Systems, Inc. | Method and apparatus for routing nets in an integrated circuit layout |
US6892371B1 (en) * | 2002-01-22 | 2005-05-10 | Cadence Design Systems, Inc. | Method and apparatus for performing geometric routing |
US7013451B1 (en) * | 2002-01-22 | 2006-03-14 | Cadence Design Systems, Inc. | Method and apparatus for performing routability checking |
US6938234B1 (en) * | 2002-01-22 | 2005-08-30 | Cadence Design Systems, Inc. | Method and apparatus for defining vias |
US7036105B1 (en) * | 2002-01-22 | 2006-04-25 | Cadence Design Systems, Inc. | Integrated circuits with at least one layer that has more than one preferred interconnect direction, and method for manufacturing such IC's |
US7117468B1 (en) * | 2002-01-22 | 2006-10-03 | Cadence Design Systems, Inc. | Layouts with routes with different spacings in different directions on the same layer, and method and apparatus for generating such layouts |
US7096449B1 (en) * | 2002-01-22 | 2006-08-22 | Cadence Design Systems, Inc. | Layouts with routes with different widths in different directions on the same layer, and method and apparatus for generating such layouts |
JP4195821B2 (ja) * | 2003-02-26 | 2008-12-17 | 富士通マイクロエレクトロニクス株式会社 | 半導体集積回路の設計方法 |
US7260790B2 (en) * | 2004-04-27 | 2007-08-21 | International Business Machines Corporation | Integrated circuit yield enhancement using Voronoi diagrams |
WO2006118098A1 (ja) * | 2005-04-26 | 2006-11-09 | Renesas Technology Corp. | 半導体装置およびその製造方法ならびに半導体製造用マスク、光近接処理方法 |
US7259373B2 (en) | 2005-07-08 | 2007-08-21 | Nexgensemi Holdings Corporation | Apparatus and method for controlled particle beam manufacturing |
JP4843649B2 (ja) * | 2008-08-07 | 2011-12-21 | 株式会社東芝 | 評価パターン作成方法、評価パターン作成プログラムおよびパターン検証方法 |
EP2317388A3 (en) * | 2009-10-28 | 2014-05-14 | Imec | Method and system for wafer inspection |
US8631379B2 (en) | 2010-02-09 | 2014-01-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Decomposing integrated circuit layout |
US8234603B2 (en) * | 2010-07-14 | 2012-07-31 | International Business Machines Corporation | Method for fast estimation of lithographic binding patterns in an integrated circuit layout |
KR101095044B1 (ko) | 2010-10-11 | 2011-12-20 | 주식회사 하이닉스반도체 | 마스크 레이아웃 분리 방법 |
TWI515589B (zh) | 2011-06-21 | 2016-01-01 | 聯華電子股份有限公司 | 半導體結構以及製作半導體佈局之方法 |
TWI518446B (zh) | 2011-12-19 | 2016-01-21 | 聯華電子股份有限公司 | 修正佈局圖案的方法以及製作光罩的方法 |
US8601416B2 (en) | 2012-03-15 | 2013-12-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of circuit design yield analysis |
US8713491B2 (en) | 2012-03-29 | 2014-04-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Pre-colored methodology of multiple patterning |
US9361423B2 (en) | 2012-04-13 | 2016-06-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | RC corner solutions for double patterning technology |
US8762900B2 (en) | 2012-06-27 | 2014-06-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for proximity correction |
US8745556B2 (en) | 2012-06-28 | 2014-06-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Layout method and system for multi-patterning integrated circuits |
US8769451B2 (en) | 2012-07-12 | 2014-07-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device design method, system and computer program product |
US8775993B2 (en) | 2012-08-31 | 2014-07-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit design flow with layout-dependent effects |
US8806392B2 (en) | 2012-12-03 | 2014-08-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Distinguishable IC patterns with encoded information |
US8782575B1 (en) | 2013-01-23 | 2014-07-15 | Taiwan Semiconductor Manufacturing Company Limited | Conflict detection for self-aligned multiple patterning compliance |
TW201430903A (zh) | 2013-01-23 | 2014-08-01 | United Microelectronics Corp | 半導體元件的製作方法 |
US8910094B2 (en) * | 2013-02-06 | 2014-12-09 | Globalfoundries Inc. | Retargeting semiconductor device shapes for multiple patterning processes |
US8793640B1 (en) | 2013-03-12 | 2014-07-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods and apparatus for RC extraction |
US8887116B2 (en) | 2013-03-14 | 2014-11-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Flexible pattern-oriented 3D profile for advanced process nodes |
US9081289B2 (en) | 2013-03-15 | 2015-07-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and method for optimization of an imaged pattern of a semiconductor device |
US9159557B2 (en) | 2013-09-26 | 2015-10-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Systems and methods for mitigating print-out defects |
-
2015
- 2015-06-30 US US14/754,769 patent/US9672320B2/en active Active
- 2015-11-11 KR KR1020150157987A patent/KR101882060B1/ko active IP Right Grant
- 2015-11-27 TW TW104139737A patent/TWI587075B/zh active
- 2015-11-30 CN CN201510859709.1A patent/CN106328585B/zh active Active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN1954541A (zh) * | 2004-03-19 | 2007-04-25 | Magiq技术公司 | Qkd***的激光器自动校准 |
CN102880733A (zh) * | 2011-07-13 | 2013-01-16 | 台湾积体电路制造股份有限公司 | 由展平的图形数据库***布局进行层次重建的***和方法 |
Also Published As
Publication number | Publication date |
---|---|
US20170004242A1 (en) | 2017-01-05 |
TW201701052A (zh) | 2017-01-01 |
CN106328585A (zh) | 2017-01-11 |
US9672320B2 (en) | 2017-06-06 |
KR101882060B1 (ko) | 2018-07-25 |
TWI587075B (zh) | 2017-06-11 |
KR20170003350A (ko) | 2017-01-09 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN106328585B (zh) | 集成电路制造的方法 | |
US11748549B2 (en) | Method and apparatus for integrated circuit mask patterning | |
US9495507B2 (en) | Method for integrated circuit mask patterning | |
US11340584B2 (en) | Synchronized parallel tile computation for large area lithography simulation | |
US8589830B2 (en) | Method and apparatus for enhanced optical proximity correction | |
CN102841509B (zh) | 光刻设备和掩模优化过程与多重图案化过程的集成 | |
US20150278429A1 (en) | System and Method for Integrated Circuit Manufacturing | |
US9747408B2 (en) | Generating final mask pattern by performing inverse beam technology process | |
US9886543B2 (en) | Method providing for asymmetric pupil configuration for an extreme ultraviolet lithography process | |
US8356261B1 (en) | Determining the gradient and hessian of the image log slope for design rule optimization for accelerating source mask optimization (SMO) | |
US10417376B2 (en) | Source beam optimization method for improving lithography printability | |
TWI742184B (zh) | 目標最佳化方法 | |
TW201124871A (en) | Method of pattern selection for source and mask optimization | |
JP2011150333A (ja) | モデルベースのサブ解像度補助パターン(mb−sraf)の改良された生成及び配置のために信号強度を高めるための方法及び装置 | |
US11714349B2 (en) | Mask optimization process | |
US11216608B2 (en) | Reduced area standard cell abutment configurations | |
US11763057B2 (en) | Critical dimension uniformity | |
US20240104286A1 (en) | Method and non-transitory computer-readable medium for generating a layout of a semiconductor device |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |