CN106304833A - 粒子去除装置及其操作方法 - Google Patents

粒子去除装置及其操作方法 Download PDF

Info

Publication number
CN106304833A
CN106304833A CN201480078899.7A CN201480078899A CN106304833A CN 106304833 A CN106304833 A CN 106304833A CN 201480078899 A CN201480078899 A CN 201480078899A CN 106304833 A CN106304833 A CN 106304833A
Authority
CN
China
Prior art keywords
carrier
vacuum
groove
removal device
coupled together
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201480078899.7A
Other languages
English (en)
Inventor
B·W·季
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN106304833A publication Critical patent/CN106304833A/zh
Pending legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B1/00Cleaning by methods involving the use of tools
    • B08B1/20Cleaning of moving articles, e.g. of moving webs or of objects on a conveyor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02096Cleaning only mechanical cleaning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • B08B5/02Cleaning by the force of jets, e.g. blowing-out cavities
    • B08B5/023Cleaning travelling work
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67046Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly scrubbing means, e.g. brushes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B15/00Preventing escape of dirt or fumes from the area where they are produced; Collecting or removing dirt or fumes from that area
    • B08B15/04Preventing escape of dirt or fumes from the area where they are produced; Collecting or removing dirt or fumes from that area from a small area, e.g. a tool

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

描述了一种用于真空处理***的粒子去除装置(200),所述真空处理***用于处理支撑在载体(40)上的基板。粒子去除装置(200)包括:基体(210),所述基体具有凹槽,其中所述凹槽配置成使得所述载体(40)的部分可被移动通过所述凹槽;以及刷(210),所述刷设在所述凹槽中,且配置为当移动所述载体(40)的所述部分通过所述凹槽时与所述载体的所述部分接触。

Description

粒子去除装置及其操作方法
技术领域
实施例关于清洁在真空处理***处或在真空处理***内的载体。实施例特别关于一种粒子去除装置、加载锁定腔室和真空处理***。
背景技术
基板常在例如在真空涂覆工厂中、在高真空条件下、以5×10-4hPa(百帕)到0.5hPa范围内的压力进行涂覆。为了增加工厂生产率并避免针对每个基板、特别是对于高真空区段(high-vacuum section)必须对对整个安装体抽真空,将加载与卸载锁定装置(load andunload locks)用于基板。
例如,在平板显示器的生产中,来自于处理***的机械组件和来自工艺本身这两者的粒子是良率减损的主要因素。因此,在过去几年中,对于真空工艺期间更少的污染的期望增加。污染可能例如发生在以下情况下:如果处理***的腔室没有适当地抽至真空;如果传输***或工艺***内的组件在工艺期间产生粒子;如果待处理的基板将粒子引入到被抽真空的工艺***内,等等。因此,在操作期间,在沉积***中具有多种可能的粒子污染源,这影响了产品质量。
在工艺***中清洁并更换组件以及连续的真空泵送是减少产品的污染风险的一种方法。然而,如上文所述,必须以尽可能快且高效的方式来执行此过程。清洁和更换流程需要用于维护的时间,所述用于维护的时间随后无法用作生产时间。
鉴于上述说明,提供一种改善的粒子去除装置、一种改善的加载锁定腔室、一种改善的真空处理***以及一种在真空处理***中清洁载体的改善方法是有益的。
发明内容
根据一个实施例,提供一种用于真空处理***的粒子去除装置,所述真空处理***用于处理支撑在载体上的基板。粒子去除装置包括:基体,所述基体具有凹槽,其中所述凹槽是配置成使得载体的部分可被移动通过所述凹槽;以及刷,所述刷设在所述凹槽中,并且配置为当移动所述载体的部分通过所述凹槽时与所述载体的部分接触。
根据另一实施例,提供一种用于真空处理***的加载锁定腔室,所述真空处理***用于处理支撑在载体上的基板。加载锁定腔室包括:加载锁定壁,形成加载锁定腔室容积;入口,配置为用于锁定进入所述加载锁定腔室的载体,其中所述入口设在所述加载锁定壁的入口壁处;真空生成装置,用于对所述加载锁定腔室抽真空;以及粒子去除装置,邻近于加载锁定腔室的入口壁处的入口。粒子去除装置包括:基体,具有凹槽,其中凹槽配置成使得载体的部分可被移动通过所述凹槽;以及刷,设在所述凹槽中,并且配置为当移动所述载体的部分通过凹槽时与所述载体的部分接触。
根据又一实施例,提供一种用于处理基板的真空处理***。所述***包括:真空处理腔室,所述真空处理腔室适用于处理所述基板;以及加载锁定腔室,所述加载锁定腔室配置为用于将所述基板从大气条件转移至所述真空处理腔室中。所述加载锁定腔室包括:加载锁定壁,形成加载锁定腔室容积;入口,配置为用于锁定进入所述加载锁定腔室的载体,其中所述入口设在所述加载锁定壁的入口壁处;真空生成装置,用于对所述加载锁定腔室抽真空;以及粒子去除装置,邻近于所述加载锁定腔室的所述入口壁上的所述入口。所述粒子去除装置包括:基体,具有凹槽,其中所述凹槽配置成使得所述载体的部分可被移动通过所述凹槽;以及刷,设在所述凹槽中,并且配置为当移动所述载体的所述部分通过所述凹槽时与所述载体的所述部分接触。
根据本发明的更进一步的实施例,提供一种在真空处理***中清洁载体的方法。所述方法包括以下步骤:将所述载体锁定到所述真空处理***的加载锁定腔室;以及当所述载体被锁定到所述加载锁定腔室时,利用刷清洁所述载体的部分。
附图说明
因此,为了可详细地理解本发明的上述特征的方式,可通过参考实施例进行对上文简要概述的本发明的具体描述。所附图式关于本发明的实施例,并且在下文中描述:
图1示出根据本文所述实施例的、包括粒子去除装置的真空处理***的部分;
图2A示出根据本文所述的实施例且具有用于清洁载体的刷的粒子去除装置的示意图;
图2B示出根据本文所述实施例且具有用于清洁载体的一刷、多个气体喷嘴和吸入端口的进一步的粒子去除装置的示意图;
图3和图4示出根据本文所述的实施例的加载锁定腔室和粒子去除装置的相应部分;
图5A和图5B示出根据本文所述的实施例的粒子去除装置的示意图;以及
图6示出根据本文所述的实施例的、具有加载锁定腔室的处理***。
为了便于理解,在可能的情况下,已使用相同的参考编号来指定各图所共有的相同的元件。构想了在一个实施例中公开的元件是可有益地被利用于其他实施例而无需特别指明。
具体实施方式
现将参考各种实施例,在附图中阐释实施例的一个或多个示例。一般而言,仅描述相对于各个实施例的差异。此外,阐释或描述为一个实施例的部分的特征可用于其他实施例或可结合其他实施例,从而产生更进一步的实施例。说明书旨在包括此类修改和变型。
根据本文所述实施例,提供粒子捕集器或粒子去除装置。例如,所述粒子捕集器或所述粒子去除装置包括基体和刷。所述刷可以在真空处理***处或或在真空处理***内清洁载体。例如,所述刷可以是真空刷,即,结合吸入端口的刷,所述吸入端口用于对利用所述刷从所述载体清洁掉的粒子进行真空清洁。所述粒子捕集器或所述粒子去除装置是可以是有益的以提供有效的方法来防止粒子到达基板(例如,在其上制造平板显示器的基板)。
根据典型的实现方式,在物理气相沉积(PVD)工具(诸如,来自应用材料公司的AKTPivot和NewAristo)上,基板载体在传输***上移动,其中在滚轴布置(arrangement)上移动载体的杆。在***操作期间,粒子是累积在所述基板载体的所述杆上。累积的粒子由所述基板载体的所述杆传输通过所述***,并且在所述***中循环。最终,这些粒子可能到达平板基板,要么由于例如对真空腔室(诸如,加载锁定腔室)的排空和泵送期间的气流型态,要么由于静电和/或动态效应。
鉴于上述情况,粒子捕集器或粒子去除装置可有益地适用于至少利用刷,特别是利用刷、真空吸入布置和/或用于提供清洁气流的气体出口(例如,气体喷嘴)来清洁载体的杆。一种用于防止粒子到达面板的高效的方法是捕集或去除这些粒子。
图1示出连接至处理腔室124的加载锁定腔室122的实施例。例如在加载锁定腔室122的入口壁处提供粒子捕集器或粒子去除装置200。加载锁定腔室122可包括真空生成装置125,诸如,泵。在图1中所示的实施例中,基板在加载锁定腔室122和处理腔室124中是基本上竖直地取向的。可理解的是,竖直取向的基板在处理***中可以具有距竖直(即,90°)取向的一些偏离,以便为利用几度的倾斜(即,基板可以具有从垂直方向起的±20°或更小(例如,±10°或更小)的偏离)来允许稳定的传输或减少基板的粒子污染(如果以略微面朝下的方式倾斜)。
根据一些实施例,如本文所述的加载锁定腔室可适用于大面积基板。根据一些实施例,大面积基板或相应的载体可具有至少0.67平方米(m2)的尺寸,其中所述载体具有多个基板。典型地,所述尺寸可约为0.67平方米(m2)(0.73米×0.92米-4.5代(GEN))或更高代;更典型地,可约为2平方米(m2)至9平方米(m2)或甚至高达12平方米(m2)。典型地,基板或载体(为所述基板和载体提供了根据本文所述的实施例的结构、***、腔室、闸门和阀的基板或载体)是本文中所述的大面积基板。例如,大面积基板或载体可以是第4.5代,其对应于约0.67平方米(m2)(0.73米×0.92米)的基板;第5代,其对应于约1.4平方米(m2)(1.1米×1.3米)的基板;第7.5代,其对应于约4.29平方米(m2)(1.95米×2.2米)的基板;第8.5代,其对应于约5.7平方米(m2)(2.2米×2.5米)的基板;或甚至是第10代,其对应于约8.7平方米(m2)(2.85米×3.05米)的基板。甚至更高世代(generation)(诸如,第11代和第12代)和对应的基板面积可类似地实现。根据可与本文所述的其他实施例结合的一些实施例,此***是可配置为用于TFT(薄膜晶体管)制造(例如,利用静态沉积),或配置成用于彩色滤光器制造(例如,利用动态沉积)。
根据一些实施例,如本文所述的加载锁定腔室、所述加载锁定腔室的组件(诸如,基板支撑件或追踪***、狭缝阀或闸门)或如本文所述的处理腔室可适用于搬运多个基板。基板可包括玻璃基板或由塑料材料制成的基板(即,例如用于显示器的制造的基板)。根据可与本文所述的其他实施例结合的一些实施例,本文所述的实施例是可被用于显示器制造,例如,PVD(物理气相沉积)工艺,即,用于显示器市场的在大面积基板上的溅射沉积。
如上文所述,加载锁定腔室可包括真空生成装置(诸如,真空泵),并且可适用于例如通过在入口腔室壁与出口腔室壁处提供相应的真空密封阀来维持加载锁定腔室内的真空。根据一些实施例,如本文所述的加载锁定腔室适用于提供小于1mbar(毫巴)的真空。在一些实施例中,加载锁定腔室适用于提供以下真空:典型地在约0.01mbar与约1mbar之间,更典型地在约0.1mbar至1毫巴mbar之间。
根据可与本文所述的其他实施例结合的一些实施例,如本文所述的真空处理腔室是可适用为高真空腔室。例如,处理腔室可包括用于在处理腔室中生成并维持真空的相应的真空泵、密封件、阀和闸门。在一些实施例中,处理腔室适用于提供低于约10-3mbar的真空。在一些示例中,处理腔室适用于提供具有以下压力的真空:典型地在约10-12mbar与约10-3mbar之间,更典型地在约10-9mbar与约10-5mbar之间。
如图1中所示,首先通过真空密封阀、在加载锁定腔室122中提供由载体支撑的基板。根据一些实施例,当载体进入局部腔室122时,此载体在粒子去除装置200上方经过。因此,当载体进入加载锁定腔室122之前,附着于载体或载体的部分(例如,载体的杆)的粒子被去除。
在加载锁定腔室122的入口壁处的真空密封阀可被关闭,以将加载锁定腔室122从大气压力抽真空至较低的压力以用于真空处理***的操作。在对加载锁定腔室122抽真空之后,在加载锁定腔室的出口壁处的真空密封阀便可被打开,使得基板可被转移到真空处理腔室124中。例如,可在真空处理腔室124中提供多个阴极113。
图2A示出粒子去除装置200,所述粒子去除装置可用于阐释本文所述的进一步的实施例。粒子去除装置200包括基体210和刷220。例如,基体210具有凹槽,例如,在基体210的上侧的凹槽。在基体210的凹槽中提供刷220。当载体的部分(例如,载体的杆)被移动通过基体210的凹槽时,可由刷220来刷洗杆。
根据更进一步的实施例,如图2B中所示,附加地可提供气体出口布置或气体喷嘴布置。例如,气体喷嘴布置可包括第一组一个或多个气体出口或气体喷嘴231以及第二组一个或多个气体出口或气体喷嘴233。气体喷嘴连接至导管,例如,在所述导管中可引入空气、干燥空气、压缩干燥空气或配置为用于清洁载体的部分的另一气体。
可例如在基体的左手侧提供第一组一个或多个气体出口或气体喷嘴231,并且可例如在基体的右手侧提供第二组一个或多个气体出口或气体喷嘴233,使得将气体向下吹入基体210的凹槽中和/或吹入凹槽的下部。被移动通过基体210的凹槽的载体的部分(诸如,载体的杆)暴露于来自气体出口布置或气体喷嘴布置的气流。暴露于气流可附加地地去除附着于载体的此部分(诸如,载体的杆)的粒子。根据一些实施例,一个或多个气体喷嘴组中的一组或这两组的一个或多个气体喷嘴可包括用于将气体喷气引导在载体的部分(例如,载体的杆)上的喷嘴出口。
根据可与本文所述的其他实施例结合的更进一步的实施例,可提供真空布置或吸入布置240。吸入端口241与凹槽中的开口流体地连通。吸入端口241连接至导管243。吸入端口241与导管243流体地连通。导管243可连接至泵。从载体的部分释放的粒子可由吸入布置240真空清除。吸入布置240是有益的,使得粒子不聚积在粒子去除装置内和/或由吸入布置(例如,类似于真空清洁器)去除更进一步的粒子。
图3示出安装至局部腔室的入口壁的粒子去除装置200。刷220安装在基体210中,例如,安装在基体210的凹槽中。根据一些实施例,基体中的凹槽可具有凹陷形状(例如,具有弯曲部),使得载体的杆可以穿过基体210的凹槽。如图3中所示,在基体的一侧上(例如,在基体的上部中和/或在凹槽的一侧上)提供第一组一个或多个气体出口或气体喷嘴231。在基体的相对侧上(例如,在基体的上部中和/或在凹槽的相对侧上)提供第二组一个或多个气体出口或气体喷嘴232。图3进一步示出导管243的部分,所述部分可连接至吸入布置的泵。
图4示出加载锁定腔室的部分。加载锁定腔室具有设有开口492的入口壁422。开口492可利用真空密封阀来关闭。根据可与本文所述的其他实施例结合的一些实施例,真空密封阀可选自由以下各项组成的组:狭缝阀、闸阀(sluice valve)和门阀(gate valve)。载体40被***在开口492中。如图可见,载体40包括杆42,所述杆42移动通过在基体210中的凹槽。粒子去除装置200利用支撑件410而装配至入口壁422。在基体处提供第一组一个或多个气体喷嘴231和第二组一个或多个气体喷嘴233以将空气或另一气体吹到载体40的杆42上。在基体210的凹槽中的刷进一步从载体40的杆42上去除粒子。通过刷和/或气体出口布置或气体喷嘴布置的气流被去除的粒子利用吸入布置而从粒子去除装置200中去除,其中泵连接至导管243。
图5A示出根据本公开的一些实施例的粒子去除装置200的剖面图。基体210具有凹槽。在凹槽内提供刷220,使得刷220可与载体40的杆42接触。根据本文所述的实施例的任选的修改,可在基体的一个凹槽中提供一个或多个刷,例如,一个、两个或三个刷。所述刷刷去附着至载体40的杆42的粒子以清洁载体40。气体喷嘴布置包括第一组一个或多个气体喷嘴231以及第二组一个或多个气体喷嘴233。气体喷嘴布置进一步从杆42上去除粒子。可通过导管243从带电的粒子去除装置200去除已从载体或载体40的杆42去除的粒子,所述导管243可连接至泵。可由包括吸入端口、导管和/或泵的吸入布置去除这些粒子。
图5B示出可与本文所述的其他实施例结合的更进一步的实施例。基体具有第一凹槽,在所述第一凹槽中提供第一刷220。另外,基体具有第二凹槽,在所述第二凹槽中提供第二刷220。第一凹槽和第二凹槽或相应的刷彼此间隔开,使得可移动在双轨道传输***上移动的载体通过相应的凹槽。例如,在图5B中,在右侧的刷可关联于载体进入加载锁定腔室的入口,其中在左侧的刷可关联于载体移出加载锁定腔室的出口。在开口内提供刷,所述开口基本上是圆形的。所述开口是被供为吸入布置的多个吸入开口。由刷从载体的杆上去除的粒子可落入开口,并且可借助于泵、通过导管而被去除。
根据可与本文所述的其他实施例结合的一些实施例,可沿在基体中的细长插件移动刷和开口,所述细长插件被提供为导管的部分,所述导管是吸入布置的部分。开口和刷可被移动至更靠近加载锁定腔室的入口壁的位置,以用于双轨道传输***的入口轨道。开口和刷可在细长插件内移动至更远离加载锁定腔室的入口壁的位置,以用于双轨道传输***的出口轨道。
鉴于上述情况,为了能够防止累积的粒子污染PVD工具,可提供根据本文所述的实施例的载体杆清洁器,所述载体杆清洁器可放置在真空处理***的进入口处。根据一些实施例,可提供喷嘴布置,即,在载体杆的顶部供应气流的空气喷淋(air shower)或气体喷淋。例如,多个喷嘴在载体杆上吹动气体或空气以去除累积的粒子。根据可与本文所述的其他实施例结合的一些实施例,空气流动方向或气体流动方向可基本上垂直于载体杆的轴(与载体杆的轴呈80°至100°)。
根据可与本文所述的其他实施例结合的更进一步的实施例,可提供吸入布置,即,吸入端口。例如,吸入端口可以在载体杆下方。被喷嘴布置或被空气喷淋移离的粒子被那个吸入端口(例如,真空端口)拽离。
根据可与本文所述的其他实施例结合的更进一步的实施例,可在空气喷淋与吸入端口之间提供刷。没有被喷嘴布置(即,空气喷淋)的气流去除的累积粒子被刷捕集。在载体在真空处理***内的转移或移动期间,刷摩擦载体杆。根据一个实现方式,可在基体的凹槽中提供一个或多个刷。例如,刷可具有抗静电材料,以允许对从杆上被去除的粒子的更好的追踪(tracking)。
图6示出根据本文所述的实施例的处理***100。处理***包括第一真空腔室101、第二真空腔室102、第三真空腔室103和第四真空腔室121。真空腔室可以是沉积腔室或其他处理腔室,其中在这些腔室内生成真空。在图6中,可看见加载锁定腔室122,加载锁定腔室122提供从处理***的外部的大气条件至在处理***的腔室内的真空条件的过渡。加载锁定腔室122可以是如前文详述的加载锁定腔室,并且可例如在加载锁定腔室的入口壁处包括粒子去除装置。可根据本文所述的实施例中的任一实施例来实现粒子去除装置200。根据本文所述的实施例,加载锁定腔室122和真空腔室101、102、103和121由传输***经由直线型传输路径而连接。
加载锁定腔室122连接至进一步的真空腔室121,例如,转移腔室。转移腔室连接至另一真空腔室101,例如,沉积腔室。如在图6中所示且根据更进一步的实施例,可在转移腔室中替代地或附加地提供进一步的粒子去除装置600,使得载体或载体的部分(例如,载体的杆)在进入沉积腔室之前被清洁。如在图6中所示的粒子去除装置600是可由诸如PEEK、不锈钢或其他材料之类的材料制成,所述具有足够低的释气性(outgassing),以允许在真空处理***内的真空条件下进行操作。根据更进一步的实现方式,可在其他真空腔室中的一个或多个内(例如,在真空旋转模块150中)提供进一步的真空去除装置600。
根据本文所述的实施例,传输***可包括含有若干传输轨道161、163、164的双轨道传输***。在图6中所见示例中,传输***进一步包括旋转模块150,以允许基板沿传输路径的的旋转。例如,可着在基板处理***100中的多个直线型传输路径传输典型地用于显示器制造的大面积基板。典型地,由传输轨道161和163提供直线型传输路径,所述传输轨道诸如具有例如沿一直线而布置的多个滚轴的直线型传输轨道。
根据典型的实施例,传输轨道和/或旋转轨道可由在大面积基板底部的传输***以及由在基本上竖直取向的大面积基板的顶部上的引导***(例如,磁性引导***)来提供。
根据可与本文所述的其他实施例结合的不同的实施例,可由固定式双轨道***、可移动单轨道***或可移动双轨道***提供真空腔室(例如,在图6中示出的在真空腔室122、121、101、102和103)中的双轨道传输***,即,具有第一传输路径和第二传输路径的传输***。固定式双轨***包括第一传输轨道和第二传输轨道,其中第一传输轨道和第二传输轨道不能横向地移位,即,基板不能在垂直于传输方向的方向上移动。可移动单轨道***是通过具有可横向地(即,垂直于传输方向)移位的直线型传输轨道来提供双轨道传输***,使得要么在第一传输路径上要么在第二传输路径上提供基板,其中第一传输路径和第二传输路径彼此远离。可移动双轨道***包括第一传输轨道和第二传输轨道,其中这两个传输轨道可横向地移位,即,这两个传输轨道可将它们相应的位置从第一传输路径切换至第二传输路径,反之亦然。
凭借根据本文所述的实施例的加载锁定腔室以及包括加载锁定腔室的处理***,降低在处理***中的污染是可能的。使用根据本文所述的一些实施例的粒子去除装置或粒子捕集器允许以容易且不复杂的方式来捕捉真空处理***中的粒子,同时,在同一时间,通过使用具有所定义的材料特性(诸如,所定义的释气速率)的相应材料来降低污染风险。
虽然上述内容涉及本发明的实施例,但是可设计本发明的其他和进一步的实施例而不背离本发明的基本范围,并且本发明的基本范围由所附权利要求书来确定。

Claims (15)

1.一种用于真空处理***的粒子去除装置,所述真空处理***用于处理支撑在载体上的基板,所述粒子去除装置包含:
基体,所述基体具有凹槽,其中所述凹槽配置成使得所述载体的部分可被移动通过所述凹槽;以及
刷,所述刷设在所述凹槽中,并且所述刷配置为当移动所述载体的所述部分移动通过所述凹槽时与所述载体的所述部分接触。
2.如权利要求1所述的粒子去除装置,进一步包含:
一个或多个气体出口,所述一个或多个气体出口配置为当移动所述载体的所述部分通过所述凹槽时,将气体引导到所述载体的所述部分上。
3.如权利要求1至2中的任一项所述的粒子去除装置,进一步包含:
吸入端口,所述吸入端口与在所述凹槽中的开口流体地连通且配置为连接至泵。
4.如权利要求1至3中的任一项所述的粒子去除装置,其中所述一个或多个气体出口设在所述基体的上部中,所述一个或多个气体出口特别配置为将气体向下引导到所述凹槽的下部中。
5.如权利要求4所述的粒子去除装置,其中所述一个或多个气体出口包括第一气体出口布置和第二气体出口布置,其中所述第一气体出口布置设在所述基体的第一侧上,并且所述第二气体出口布置设在所述基体与所述第一侧相对的第二侧上。
6.如权利要求3至5中的任一项所述的粒子去除装置,其中所述凹槽中的所述开口在所述刷下方。
7.如权利要求1至6中的任一项所述的粒子去除装置,进一步包含:
进一步的凹槽,所述进一步的凹槽配置成使得进一步的载体的部分可被移动通过所述凹槽;以及
进一步的刷,所述进一步的刷设在所述进一步的凹槽中,其中粒子捕集器配置为用于捕集在双轨道传输***中传输的载体的粒子。
8.如权利要求7所述的粒子去除装置,其中所述进一步的凹槽设在所述基体中。
9.一种用于真空处理***的加载锁定腔室,所述真空处理***用于处理支撑在载体上的基板,所述加载锁定腔室包含:
多个加载锁定壁,所述多个加载锁定壁形成加载锁定腔室容积;
入口,所述入口配置为用于锁定进入所述加载锁定腔室的所述载体,其中所述入口设在所述加载锁定壁的入口壁处;
真空生成装置,所述真空生成装置用于对所述加载锁定腔室抽真空;以及
用于真空处理***的粒子去除装置,所述真空处理***用于处理支撑在载体上的基板,其中所述粒子去除装置包含:
基体,所述基体具有凹槽,其中所述凹槽配置成使得所述载体的部分可被移动通过所述凹槽;以及
刷,所述刷设在所述凹槽中且配置为当移动所述载体的所述部分移动通过所述凹槽时与所述载体的所述部分接触。
10.如权利要求9所述的加载锁定腔室,进一步包含:
第一真空密封阀,所述第一真空密封阀在所述入口处;
出口,所述出口配置为用于在所述加载锁定壁的出口壁处将所述载体转移出所述加载锁定腔室;以及
第二真空密封阀,所述第二真空密封阀在供所述基板离开所述加载锁定腔室的所述出口处。
11.一种用于处理基板的真空处理***,所述***包含:
真空处理腔室,所述真空处理腔室适用于处理所述基板;以及
如权利要求9至10中的任一项所述的加载锁定腔室,所述加载锁定腔室配置为用于将所述基板从大气条件转移到所述真空处理腔室中。
12.如权利要求11所述的真空处理***,进一步包含如权利要求1至8中的任一项所述的第二粒子去除装置,其中所述第二粒子去除装置包含具有在约1.0E-8mbar*l/(s*cm2)与约1.0E-6mbar*l/(s*cm2)之间的对于1小时(1h)的释气值的材料,并且其中所述第二粒子去除装置设在所述加载锁定腔室中,设在所述真空处理腔室中或设在所述真空处理***的进一步的真空腔室中。
13.如权利要求11至12中的任一项所述的真空处理***,其中所述真空处理腔室中的真空是具有在约10-7毫巴与约10-5毫巴之间的范围中的压力的超高真空。
14.一种在真空处理***中清洁载体的方法,所述方法包含以下步骤:
将所述载体锁定到所述真空处理***的加载锁定腔室中;以及
当所述载体被锁定到所述加载锁定腔室中时,利用刷来清洁所述载体的部分。
15.如权利要求14所述的方法,所述方法进一步包含以下步骤:
当所述载体被锁定到所述加载锁定腔室中时,将气流引导到所述载体的所述部分上;以及
真空清洁从所述载体的所述部分去除的粒子。
CN201480078899.7A 2014-05-15 2014-05-15 粒子去除装置及其操作方法 Pending CN106304833A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2014/038130 WO2015174981A1 (en) 2014-05-15 2014-05-15 Particle removal device and method of operating thereof

Publications (1)

Publication Number Publication Date
CN106304833A true CN106304833A (zh) 2017-01-04

Family

ID=50981858

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480078899.7A Pending CN106304833A (zh) 2014-05-15 2014-05-15 粒子去除装置及其操作方法

Country Status (5)

Country Link
JP (1) JP2017520112A (zh)
KR (1) KR20170008270A (zh)
CN (1) CN106304833A (zh)
TW (1) TW201605550A (zh)
WO (1) WO2015174981A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110055488A (zh) * 2019-05-28 2019-07-26 珠海长安富士金属热处理有限公司 一种真空热处理渗碳装置

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6640878B2 (ja) * 2017-01-31 2020-02-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板キャリア及び基板を処理する方法
DE102017106373A1 (de) * 2017-03-24 2018-09-27 Nexwafe Gmbh Prozesskammerführung, Prozesskammer und Verfahren zum Führen eines Substratträgers in eine Prozessposition
KR20230117222A (ko) * 2020-12-17 2023-08-07 어플라이드 머티어리얼스, 인코포레이티드 이송 방향을 따라 이동하는 캐리어를 세정하기 위한캐리어 세정 헤드, 기판 프로세싱 시스템, 기판 프로세싱 시스템을 유지보수하는 방법, 및 디바이스를 제조하는 방법
CN116159809A (zh) * 2022-12-28 2023-05-26 深圳市纳设智能装备有限公司 晶圆传输方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0528405A2 (en) * 1991-08-19 1993-02-24 Praxair Technology, Inc. Ambient-free processing system
US6145148A (en) * 1995-10-13 2000-11-14 Lam Research Corporation Method and apparatus for cleaning of semiconductor substrates using hydrofluoric acid (HF)
CN1603897A (zh) * 2003-10-01 2005-04-06 周星工程股份有限公司 具有传送器的装置及用其转移基板的方法
CN101101888A (zh) * 2006-07-04 2008-01-09 Psk有限公司 衬底传送装置以及使用该装置的衬底加工***
CN102138200A (zh) * 2008-08-27 2011-07-27 应用材料股份有限公司 用于大面积基板处理***的加载锁定腔室
TW201302580A (zh) * 2011-05-24 2013-01-16 Muratec Automation Co Ltd 輸送裝置

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0599087B1 (de) * 1992-11-27 1996-01-10 Satzinger GmbH & Co. Vorrichtung zum Schmieren und Reinigen insbesondere von Ketten und Schienen
JP3188935B2 (ja) * 1995-01-19 2001-07-16 東京エレクトロン株式会社 検査装置
JP2842551B2 (ja) * 1995-02-28 1999-01-06 三洋電機株式会社 半導体薄膜の製造装置
JP5055776B2 (ja) * 2006-02-03 2012-10-24 大日本印刷株式会社 成膜装置
ITMI20071064A1 (it) * 2007-05-25 2008-11-26 Giorgio Passoni Dispositivo per la pulizia di una catena di trasmissione del moto
JP5721816B2 (ja) * 2011-04-15 2015-05-20 株式会社アルバック 被処理体の搬送機構

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0528405A2 (en) * 1991-08-19 1993-02-24 Praxair Technology, Inc. Ambient-free processing system
US6145148A (en) * 1995-10-13 2000-11-14 Lam Research Corporation Method and apparatus for cleaning of semiconductor substrates using hydrofluoric acid (HF)
CN1603897A (zh) * 2003-10-01 2005-04-06 周星工程股份有限公司 具有传送器的装置及用其转移基板的方法
CN101101888A (zh) * 2006-07-04 2008-01-09 Psk有限公司 衬底传送装置以及使用该装置的衬底加工***
CN102138200A (zh) * 2008-08-27 2011-07-27 应用材料股份有限公司 用于大面积基板处理***的加载锁定腔室
TW201302580A (zh) * 2011-05-24 2013-01-16 Muratec Automation Co Ltd 輸送裝置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110055488A (zh) * 2019-05-28 2019-07-26 珠海长安富士金属热处理有限公司 一种真空热处理渗碳装置

Also Published As

Publication number Publication date
KR20170008270A (ko) 2017-01-23
TW201605550A (zh) 2016-02-16
WO2015174981A1 (en) 2015-11-19
JP2017520112A (ja) 2017-07-20

Similar Documents

Publication Publication Date Title
CN106304833A (zh) 粒子去除装置及其操作方法
CN107109624B (zh) 材料沉积布置、真空沉积***和沉积材料的方法
TWI685888B (zh) 使用於製造oled裝置之真空系統的清洗方法、用以製造oled裝置之在基板上真空沈積的方法、及用以製造oled裝置之在基板上真空沈積的設備
CN106232863A (zh) 用于真空处理***的负载锁定腔室和真空处理***
KR101293025B1 (ko) 마스크 적재 및 기판 반송 챔버와, 마스크 적재 및 기판 반송 챔버의 운용방법
KR102045373B1 (ko) 로드 록 챔버, 로드 록 챔버를 갖는 진공 프로세싱 시스템, 및 로드 록 챔버를 진공배기하기 위한 방법
US20120291708A1 (en) Vacuum deposition apparatus
JP2019071447A (ja) 粒子除去デバイス、及び粒子除去デバイスを操作する方法
TW202020192A (zh) 真空處理設備、軌道配置、遮罩遮蔽物及交換其之方法
KR101123634B1 (ko) 분사노즐 주위 압력을 일정하게 제어하는 장치 및 이를 이용한 고상파우더 코팅장치
KR20190039891A (ko) 하나 이상의 기판들을 진공 프로세싱하기 위한 진공 프로세싱 시스템 및 방법
TWM522080U (zh) 抗汙塗層之塗佈設備
JP7404217B2 (ja) Oledデバイスの製造に使用される真空システムを洗浄するための方法、oledデバイスを製造するための基板の上での真空堆積のための方法、及びoledデバイスを製造するための基板の上での真空堆積のための装置
JP2014019918A (ja) 成膜装置のクリーニング方法
JP2020038972A (ja) ロードロックチャンバ、ロードロックチャンバを有する真空処理システム及びロードロックチャンバを排気する方法
KR20230095897A (ko) 기판처리장치
KR20140147000A (ko) 박막증착장치 및 그에 사용되는 리니어소스
WO2022128112A1 (en) Roller for a carrier transport assembly, substrate processing system, method of maintaining a substrate processing system, and method of manufacturing a device
WO2022128113A1 (en) Carrier cleaning head for cleaning a carrier moving along a transport direction, substrate processing system, method of maintaining a substrate processing system, and method of manufacturing a device
TW201829817A (zh) 真空沈積設備與對應之沈積模組及沈積一層於一基板上之方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20170104