CN106206284B - 改进型蚀刻工艺 - Google Patents

改进型蚀刻工艺 Download PDF

Info

Publication number
CN106206284B
CN106206284B CN201510306755.9A CN201510306755A CN106206284B CN 106206284 B CN106206284 B CN 106206284B CN 201510306755 A CN201510306755 A CN 201510306755A CN 106206284 B CN106206284 B CN 106206284B
Authority
CN
China
Prior art keywords
layer
doped oxide
oxide layer
patterned
protective layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510306755.9A
Other languages
English (en)
Other versions
CN106206284A (zh
Inventor
叶明熙
林益安
严必明
陈昭成
章勋明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106206284A publication Critical patent/CN106206284A/zh
Application granted granted Critical
Publication of CN106206284B publication Critical patent/CN106206284B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3063Electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2255Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides
    • H01L21/2256Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides through the applied layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2255Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30608Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Thin Film Transistor (AREA)

Abstract

本发明提供一种改进型蚀刻工艺。方法包括:提供半导体衬底;在半导体衬底上形成掺杂氧化物层;在掺杂氧化物层上形成图案化层,图案化层保持掺杂氧化物层的暴露区;对衬底执行溅射工艺;以及在溅射工艺之后,对半导体衬底执行湿蚀刻工艺,以从暴露区去除掺杂氧化物层。

Description

改进型蚀刻工艺
技术领域
本发明一般地涉及半导体技术领域,更具体地涉及半导体制造工艺。
背景技术
半导体制造方法通常使用多种类型的蚀刻工艺。一种类型的蚀刻工艺是干蚀刻工艺。干蚀刻工艺使用来自反应气体的离子轰击,以从暴露的表面去除材料。另一种类型的蚀刻工艺是湿蚀刻工艺。湿蚀刻工艺使用化学溶液去除暴露的材料。
通常用于在半导体制造方法的另一种工艺是掺杂工艺。掺杂工艺包括将掺杂剂注入半导体材料中,以改变半导体材料的电气特性。执行掺杂工艺的一种方法是在衬底上沉积掺杂氧化物的薄层。掺杂氧化物包括预期类型的掺杂剂。然后,执行退火工艺使掺杂氧化物层的掺杂剂扩散到衬底中。因此,掺杂氧化物层作为固体扩散源。
通常图案化掺杂氧化物层,使得仅掺杂下面的衬底的合适区域。图案化掺杂氧化物层包括使用诸如光刻胶层的图案化层,以及去除掺杂氧化物层的暴露部分的蚀刻工艺。使用干蚀刻工艺会损坏下面的衬底。使用湿蚀刻工艺会导致越过图案化层的侧壁横向蚀刻掺杂氧化物层。
发明内容
为了解决现有技术中所存在的缺陷,根据本发明的一方面,提供了一种方法,包括:提供半导体衬底;在所述半导体衬底上形成掺杂氧化物层;在所述掺杂氧化物层上形成图案化层,所述图案化层保持所述掺杂氧化物层的暴露区;对所述衬底执行溅射工艺;以及在所述溅射工艺之后,对所述半导体衬底执行湿蚀刻工艺,以从所述暴露区去除所述掺杂氧化物层。
该方法还包括,在执行所述溅射工艺之前,在所述图案化层上以及所述掺杂氧化物层的暴露区上形成保护层。
在该方法中,形成所述保护层包括:通过使用CH4、C4H8、CH3F、C4F8和C5F8中的至少一种形成聚合物层。
在该方法中,形成所述保护层包括:在干蚀刻工具中形成所述聚合物层;以及在所述干蚀刻工具中执行所述溅射工艺。
在该方法中,所述溅射工艺去除聚合物层位于所述暴露区的所述掺杂氧化物层上的部分,由此保留所述图案化层的侧壁上的所述聚合物层。
在该方法中,用旋涂工艺形成所述保护层。
在该方法中,用于所述溅射工艺的溅射气体包括Ar、N2、He、O2和H2中的至少一种。
在该方法中,用于所述溅射工艺的溅射气体的气压在约5mTorr至约100mTorr的范围内。
在该方法中,用于所述溅射工艺的电源功率在约100W至约2000W的范围内,以及偏置功率在约20V至约1000V的范围内。
在该方法中,以小于100转每分钟的转速执行所述湿蚀刻工艺。
在该方法中,所述湿蚀刻工艺包括:在约30s至约60s时间段内应用氢氟酸,在约120s至约180s的时间段内应用SC1材料,以及在约30s至约60s的时间段内应用氢氟酸。
该方法还包括:去除所述图案化层;以及执行热工艺,由此将掺杂剂从所述掺杂氧化物层引入所述未暴露区中的所述半导体衬底。
在该方法中,所述半导体衬底的非暴露区对应于鳍式场效应晶体管(FinFET)器件的一部分。
在该方法中,所述图案化层包括底部抗反射涂层(BARC)材料和抗蚀剂材料。
根据本发明的另一方面,提供了一种方法,包括:在衬底上形成掺杂氧化物层;在所述掺杂氧化物层上形成图案化的抗蚀剂层,所述图案化的抗蚀剂层保持所述掺杂氧化物层的暴露区;在所述衬底上执行软溅射工艺,以弱化所述暴露区中的所述掺杂氧化物层;以及在所述软溅射工艺之后,执行湿蚀刻工艺,以从所述暴露区去除所述掺杂氧化物层。
该方法还包括,在执行所述软溅射工艺之前,保护层沉积在所述图案化的抗蚀剂层上,以及所述图案化的抗蚀剂层的部件的侧壁和所述掺杂氧化物层的所述暴露区上。
在该方法中,对所述暴露区中的所述掺杂氧化物层应用所述软溅射工艺,使得降低所述掺杂氧化物层的抗蚀刻性;以及所述湿蚀刻工艺选择性地蚀刻所述暴露区中的所述掺杂氧化物层,使得基本不会越过所述图案化的抗蚀剂层的部件的侧壁横向蚀刻所述掺杂氧化物层。
根据本发明的又一方面,提供了一种方法,包括:在半导体衬底上形成掺杂氧化物层;在所述掺杂氧化物上形成图案化层,所述图案化层保持所述掺杂氧化物层的暴露区;在所述图案化层上以及所述掺杂氧化物层的所述暴露区上沉积保护层;在沉积所述保护层之后,对所述半导体衬底执行溅射工艺,由此去除所述保护层位于所述暴露区中的部分;以及在所述溅射工艺之后,对所述衬底执行湿蚀刻工艺,以从所述暴露区去除所述保护层和所述掺杂氧化物层。
在该方法中,在干蚀刻工具中执行沉积所述保护层和所述溅射工艺。
在该方法中,执行所述溅射工艺包括:执行所述溅射工艺,以降低所述暴露区中的所述掺杂氧化物层的抗蚀刻性。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明的各个方面。应该注意,根据工业中的标准实践,各种部件没有被按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意地增大或减小。
图1A至图1C是示出根据本文所述原理的一个实例的示例性的改进型湿蚀刻工艺的示图。
图2A至图2C是示出了根据本文所述原理的一个实例使用保护层的示例性改进型湿蚀刻工艺的示图。
图3是示出根据本文所述原理的一个实例执行改进型湿蚀刻工艺的示例性方法的流程图。
具体实施方式
以下公开内容提供了许多不同实施例或实例,用于实现所提供主题的不同特征。以下将描述组件和布置的特定实例以简化本发明。当然,这些仅是实例并且不旨在限制本发明。例如,在以下描述中,在第二部件上方或上形成第一部件可以包括第一部件和第二部件直接接触的实施例,也可以包括形成在第一部件和第二部件之间的附加部件使得第一部件和第二部件不直接接触的实施例。另外,本发明可以在多个实例中重复参考标号和/或字符。这种重复是为了简化和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
此外,为了便于描述,本文中可以使用诸如“在…下方”、“在…下面”、“下部”、“在…上面”、“上部”等的空间关系术语以描述如图所示的一个元件或部件与另一元件或部件的关系。除图中所示的方位之外,空间关系术语旨在包括使用或操作过程中的器件的不同的方位。装置可以以其它方式定位(旋转90度或在其他方位),并且在本文中使用的空间关系描述符可同样地作相应地解释。
如上所述,使用干蚀刻工艺会损坏下面的衬底。使用湿蚀刻工艺会导致越过图案化层的侧壁横向蚀刻掺杂氧化物层。但是,根据本文所述的原理,改进型湿蚀刻工艺可去除掺杂氧化物层而不会导致越过图案化层的侧壁过多地横向蚀刻掺杂氧化物层。
根据本文所述的原理,在应用湿蚀刻工艺之前,执行软溅射工艺会损坏暴露的掺杂氧化物层。由此,通过湿蚀刻工艺可以更快地去除被损坏的掺杂氧化物层。通过减少湿蚀刻工艺所用的时间,使越过图案化层部件横向蚀刻掺杂氧化物层的时间更少。在一些实施例中,在执行溅射工艺之前,将保护层沉积到衬底上。这也有助于减少横向蚀刻,并且保护图案化层的部件的侧壁。
图1A至图1C示出了根据一些实施例的改进型湿蚀刻工艺的示例性方法的示图。图1A示出了衬底102、掺杂氧化物层104和图案化层106。根据本实例,在衬底上形成掺杂氧化物层104。然后,在掺杂氧化物层的上方形成图案化层106。对图案化层106进行图案化,使得具有暴露区114和未暴露区112。
衬底102可以是标准的半导体晶圆。例如,晶圆的直径可近似为300mm,并且晶圆的厚度小于1mm。衬底102可由诸如硅的半导体材料制成。在一些实例中,诸如锗III-V族半导体材料的其他材料用于衬底102。
将掺杂氧化物层104沉积到衬底102的表面上。掺杂氧化物层可由多种材料制成,包括二氧化硅(SiO2)、三氧化二砷(As2O3)、磷硅玻璃(PSG)、硼硅玻璃(BSG)。掺杂氧化物层用作掺杂剂的固体扩散源。在特定的条件下,掺杂氧化物层104内的掺杂剂会扩散到下面的衬底102中。例如,包括高温的热退火工艺会使掺杂剂从掺杂氧化物层104扩散到下面的衬底102中,由此掺杂衬底102。在一些实例中,掺杂氧化物层104的厚度在
Figure BDA0000732277310000051
Figure BDA0000732277310000052
的范围内。
集成电路的制造通常包括掺杂半导体晶圆上的特定区域,以形成特定部件。例如,掺杂晶圆上的某些区,以形成场效应晶体管或其他适当的电路器件的源极或漏极区。因此,图案化掺杂氧化物层104,使得从衬底或下面的部件的不意欲被掺杂的区域中去除掺杂氧化物层。
为了图案化掺杂氧化物层,在掺杂氧化物层104上形成图案化层106。在一些实施例中,图案化层包括光刻胶层110,该光刻胶层110对光子敏感,并能够通过光刻工艺进行图案化。在一个实例中,图案化层包括底部抗反射涂层(BARC)108和光刻胶层110。BARC有助于将抗蚀剂层暴露到光源下。具体地,因为从光源发出光穿过光刻胶层110而没有反射,并且到达光刻胶层中不意欲暴露的部分,所以BARC层可满足更高的分辨率。
光刻胶层110被设计为响应于暴露至光源而发生改变。对于正性光刻胶,光刻胶的暴露到光源的部分可溶于显影液。对于负性光刻胶,光刻胶的暴露到光源下的部分不溶于相应的显影液。光刻胶层的显影去除可溶部分,同时保持不可溶部分完好无损。因此,在显影光刻胶层110之后,图案化光刻胶层110,以形成具有多个开口的图案化层。图案化层的开口内的掺杂氧化物层是未覆盖的(或裸露的)。因此,具有暴露区114和未暴露区112。因此,执行蚀刻工艺,使得从暴露区114去除掺杂氧化物层104。通常,期望没有穿过图案化层106的侧壁横向蚀刻掺杂氧化物层104。
在一些实施例中,通过光掩模将光刻胶层110暴露到光源下。在一些其他实施例中,图案化层是对其他辐射(诸如,电子束、X射线、带电粒子或其他合适的辐射束)敏感的其他合适的抗蚀剂材料。因此,通过诸如电子束光刻工艺的相应的光刻工艺图案化的抗蚀剂层。
图1B示出了用于弱化掺杂氧化物层104的暴露部分的软溅射工艺116,由此相对于掺杂氧化物层的未暴露部分的抗蚀刻性,改变掺杂氧化物层104的暴露部分的抗蚀刻性。传统的溅射包括材料从靶子喷射到衬底上,以用于溅射沉积。在本发明中,软溅射工艺被用于处理和/或蚀刻。在本实施例中,偏置功率用于为等离子体提供朝向衬底102的定向能量,以用于预期的处理和/或蚀刻。特别地,以相应的电场朝向衬底的方式应用偏置功率,使得等离子体中的离子被引导至衬底。而且,软溅射被设计为处理掺杂氧化物层104,而不损坏半导体衬底。因此,等离子体能量和相应的偏置功率被设计为足够有效地处理掺杂氧化物层,以降低抗蚀刻性,并且等离子体能量和相应的偏置功率足够弱而不会损坏半导体衬底。在一些实施例中,偏置功率在约20V至约1000V或约20W至约1000W的范围内。
根据本实例,用于溅射工艺116的溅射气体可以是氩(Ar)、氦(He)、氮气(N2)、氧气(O2)和氢气(H2)中的一种。提供给处理室的溅射气体的流量在约10sccm(标况毫升每分钟)至约1000sccm范围内。处理室中的压力保持在约5mTorr至约100mTorr的范围内。电源功率可在约100W至约2000W的范围内。把电源施加到溅射气体上,以生成等离子体。吸盘(诸如静电吸盘)的温度在约0℃至约120℃的范围内。
根据一个实例,因为一些干蚀刻工具能够执行溅射工艺,所以可以在干蚀刻工具中执行溅射工艺116。在软溅射工艺期间,通过等离子体处理掺杂氧化物层104,因此在暴露区114上方产生弱化的(或被损坏的)掺杂氧化物层118。该弱化的材料更容易受到蚀刻工艺的影响。
图1C是示出在衬底102上执行示例性湿蚀刻工艺120的示图。如上所述,湿蚀刻使用化学溶液,该化学溶液被设计为去除特定类型的材料,同时保持其他材料完好无损。具体地,图案化层106作为蚀刻掩模,以保护掺杂氧化物层的未暴露部分免于蚀刻。
在一个实例中,湿蚀刻工艺包括在约30s至约60s的范围内的持续时间段使用氢氟酸(HF)溶液。在一个实例中,氢氟酸可以是具有0.5%的HF的水溶液。然后,蚀刻工艺120包括在约120s至约180s的范围内的持续时间段内使用SC1溶液。SC1材料含有的去离子水、NH4OH水(氢氧化铵)和H2O2水(过氧化氢)的比率为1:0.1:5。然后,再次在约30s至约60s的范围内的持续时间段内使用氢氟酸。这种持续时间段短于传统的湿蚀刻工艺所使用的时间。
在一些实例中,以较慢的转速执行湿蚀刻工艺。在传统的湿蚀刻工艺中,保持半导体的吸盘旋转,由此引起晶圆的旋转。晶圆的转速通常在约300RPM(转每分钟)至约800RPM的范围内。但是,根据本文所描述的原理,以小于100RPM的较慢转速执行湿蚀刻工艺。
通常,湿蚀刻工艺基本上是各向同性的,并且横向蚀刻未暴露的部分,从而改变了预期的尺寸。在所公开的方法中,因为部分掺杂氧化物层104被溅射工艺116损坏或弱化,所以暴露部分的蚀刻率大于未暴露部分的蚀刻率。由于掺杂氧化物层的暴露部分和未暴露部分的蚀刻率不同,所以减少或基本上消除了横向蚀刻偏移。
在一些情况下,衬底102是诸如鳍结构的外延生长结构的一部分。在一些情况中,衬底102是通过除了外延以外的方法所形成的鳍结构。通过使用本文所描述的方法,执行湿蚀刻工艺,使得减少对鳍结构的任何损坏,同时基本没有越过图案化层106的侧壁122蚀刻掺杂氧化物层104。
图2A至图2C示出了利用根据一些实施例所构造的保护层的示例性改进型湿蚀刻工艺的方法的示图。在湿蚀刻工艺期间,保护层可为图案化层106的侧壁提供附加保护。图2A示出了沉积工艺204,以形成保护层202。可以通过多种不同的方法形成保护层202,并且该保护层202可由多种材料制成。在一些实施例中,保护层202是聚合物层。
可使用化学汽相沉积(CVD)工艺形成保护层202。CVD是在半导体工业中经常用于制造具有不同材料的薄层的化学工艺。在典型的CVD工艺中,将衬底暴露于一种或多种挥发性前体。这种前体在衬底表面发生反应,以制造预期的沉积材料。
用以制造保护层202的CVD工艺可使用多种前体。例如,前体可包括甲烷(CH4)、1-丁烯(C4H8)、八氟环丁烷(C4F8)、氟甲烷(CH3F)、或C5F8。CVD气体的流量在10sccm至1000sccm的范围内。在一些实施例中,CVD工艺还包括诸如氩(Ar)或氦(He)的载气。在一些实例中,以在0sccm至2000sccm的范围内的相应的气体流量来提供氦(He)。在一些实施例中,在沉积期间,工艺室中的压力保持在5mTorr至100mTorr的范围内。在一些实例中,电源功率可在100W至2000W的范围内。偏置功率可在0V至1000V或0W至1000W的范围内。保持晶圆的吸盘的温度在约0℃至约120℃的范围内。也可在干蚀刻工具中执行保护层沉积工艺204。在一些实例中,诸如旋涂的其他方法也可用于施加保护层202。
在本实施例中,在掺杂氧化物层104、图案化层106的侧壁122和图案化层106的顶部上直接形成保护层202。保护层202的厚度基本一致。在一些实施例中,选择诸如聚合物作为保护层202的材料,以通过溅射工艺去除该材料。
图2B示出了在衬底上执行的软溅射工艺206,即对保护层202执行软溅射工艺206。根据本实施例,用于溅射工艺206的溅射气体可以是氩(Ar)、氦(He)、氮气(N2)、氧气(O2)、氢气(H2)中的一种或它们的组合。
可调整溅射工艺,使得去除保护层202的水平部分,同时保持保护层202的垂直部分完好无损。水平部分包括保护层202位于掺杂氧化物层104和图案化层106上的部分。垂直部分包括图案化层106的侧壁122上的保护层202。在一些实施例中,软溅射工艺也处理掺杂氧化物层104的暴露部分,以降低掺杂氧化物层的暴露部分的抗蚀刻性,而不损坏衬底。
在一些实例中,所提供的溅射气体的流量在约10sccm至约1000sccm的范围内。在一些实例中,工艺室的压力保持在约5mTorr至约100mTorr的范围内。电源功率可在约100W至约2000W的范围内。偏置功率可在约20V至约1000V或20W至约1000W的范围内。支撑衬底102的吸盘(诸如静电吸盘)的温度在约0℃至约120℃的范围内。
图2C示出了去除掺杂氧化物层104的暴露部分和图案化层106的侧壁122上的剩余的保护层202的湿蚀刻工艺。在一个实例中,湿蚀刻工艺208包括在约30s至约60s的范围内的持续时间段内应用氢氟酸。在一个实例中,氢氟酸是0.5%的HF的水溶液。然后,蚀刻工艺208包括在约120s至约180s的范围内的持续时间段内应用SC1溶液。SC1材料含有的去离子水、NH4OH水(氢氧化铵)和H2O2水(过氧化氢)的比率为1:0.1:5。然后,再次在约30s至约60s的持续时间段内应用氢氟酸。
因为保护层202位于沿着图案化层106的侧壁122的适当位置处,所以减少了越过侧壁122横向蚀刻掺杂氧化物层104。附加地,侧壁122免于蚀刻工艺。附加地,因为已通过溅射工艺116处理了部分掺杂氧化物层104,所以降低了掺杂氧化物层的暴露部分的抗蚀刻性。由于暴露部分和未暴露部分的蚀刻率偏差,所以近一步减少了横向蚀刻偏移。
图3是示出用于执行改进型湿蚀刻工艺的示例性方法的流程图。根据本实例,方法300包括提供半导体衬底的步骤302。衬底可以是用于制造集成电路的标准的半导体晶圆。在多种制造工艺期间衬底被固定到诸如静电吸盘的吸盘上。
方法300还包括在衬底上形成掺杂氧化物层的步骤304。如上所述,掺杂氧化物层作为掺杂衬底的固体扩散源。在诸如高温的特定条件下,来自掺杂氧化物层的掺杂剂会扩散到下面的衬底中。掺杂氧化物层用于实现预期的掺杂轮廓。
方法300还包括在掺杂氧化物层上形成图案化层的步骤306。通过光刻工艺形成图案化层,并且图案化层包括限定掺杂氧化物层的暴露区和未暴露区的多个开口。图案化层可包括抗蚀剂材料。在一些实例中,在沉积光刻胶材料之前沉积BARC层。然后根据在光掩模上或数据库中定义的IC图案,暴露图案化层。在显影图案化层之后,就形成了图案化层。图案化层覆盖掺杂氧化物层的未暴露区,同时掺杂氧化物层的未暴露区被覆盖。覆盖的区域对应于意欲通过氧化物层的掺杂剂进行掺杂的区域。在一些实例中,覆盖区对应于鳍结构或鳍结构中意欲被掺杂的一部分。
方法300还包括在图案化层和掺杂氧化物层的暴露区上沉积保护层的步骤308。在一些实施例中,该步骤被省略。保护层可以是聚合物材料。可在干蚀刻工具中形成保护层。可使用CVD工艺形成保护层。也可使用旋涂工艺形成保护层。在一些实例中,在图案化层的侧壁上,以及在图案化层的顶部和掺杂氧化物层的顶部上形成保护层。
用来制造保护层的CVD工艺可使用多种前体。例如,前体可包括甲烷(CH4)、1-丁烯(C4H8)、八氟环丁烷(C4F8)、氟甲烷(CH3F)或C5F8。CVD气体的流量在10sccm至1000sccm范围内。该气体可携带有或不携带氩(Ar)。在一些实例中,稀释的氦(He)的流量在0sccm至2000sccm范围内。气体增压,以使压力在5mTorr至100mTorr的范围内。在一些实例中,电源功率在100W至2000W的范围内。偏置功率在0V至1000V或0W至1000W的范围内。保持晶圆的吸盘的温度在0℃至120℃的范围内。也可在干蚀刻工具中执行保护层沉积工艺204。在一些实例中,诸如旋涂的其他的方法也可用于施加保护层202。
方法300还包括对衬底执行软溅射工艺的步骤310。因此,软溅射工艺会影响衬底上的所有暴露材料。例如,如果保护层位于适当的位置,则溅射工艺会影响保护层的所有区。软溅射工艺具有各向异性蚀刻效果,并且去除掺杂氧化物层的顶部和图案化层的顶部上的图案化层。但是,溅射工艺保持图案化层的侧壁上的保护层基本完好无损。
在一些实例中,用于软溅射工艺的软溅射气体可以是氩(Ar)、氦(He)、氮气(N2)、氧气(O2)和氢气(H2)中的一种。溅射气体的流量在约10sccm至约1000sccm的范围内。工艺室中的压力保持在约5mTorr至约100mTorr的范围内。电源功率在约100W至约2000W的范围内。偏置功率在约20V至约1000V或20W至约1000W的范围内。这可以通过将功率施加给支撑衬底的吸盘来实现。诸如静电吸盘的吸盘的温度在约0℃至约120℃的范围内。在一些实施例中,在相同的干蚀刻工具中实施步骤308和310,因此降低了其间的污染并且提高了制造产量。
具有或没有位于适当位置处的保护层,溅射工艺可弱化暴露的掺杂氧化物层,因此使该掺杂氧化物层更容易受到下面将要描述的湿蚀刻工艺的影响。这使得执行湿蚀刻工艺具有各向异性蚀刻效果。因为掺杂氧化物层的暴露部分和未暴露部分的蚀刻率差异,所以消除或减少了湿蚀刻工艺期间的横向蚀刻偏移。
方法300还包括步骤312,对衬底执行湿蚀刻工艺,以从暴露区去除掺杂氧化物层。因为软溅射工艺已经处理了暴露的掺杂氧化物层,所以降低了暴露部分的湿蚀刻率。这导致了越过图案化层的侧壁更少地横向蚀刻掺杂氧化物层。这使得掺杂氧化物层的最终图案更精确。在一些实例中,以比传统湿蚀刻工艺更低的转速执行湿蚀刻工艺。如果使用了保护层,则图案化层的侧壁上的保护层会有助于减少横向蚀刻,以及保护图案化层的侧壁免于蚀刻损失。
在一个实例中,湿蚀刻工艺包括:在约30s至约60s的范围内的持续时间段内应用氢氟酸(HF)溶液。在一个实例中,氢氟酸是具有0.5%的HF的水溶液。然后,蚀刻工艺120包括:在约120s至约180s的范围内的持续时间段内应用SC1溶液。SC1材料含有的去离子水、NH4OH水(氢氧化铵)和H2O2水(过氧化氢)的比率为1:0.1:5。然后,再次在约30s至约60s的范围内的持续时间段内应用氢氟酸。这样的持续时间段小于传统湿蚀刻工艺所使用的时间。
在从通过图案化层所暴露的区域去除掺杂氧化物层之后,图案化层被去除。该去除工艺将保留衬底上的掺杂氧化材料的特定区域。然后,进行退火工艺。退火工艺的高温会使来自掺杂氧化物层的掺杂剂移动到下面的衬底中。因此,最初被图案化层覆盖的区域成为下面的衬底被掺杂的区域。
根据一些实施例,方法包括:提供半导体衬底;在半导体衬底上形成掺杂氧化物层;在掺杂氧化物层上形成图案化层,图案化层保持掺杂氧化物层的暴露区;对衬底执行溅射工艺;并且在溅射工艺之后,对半导体衬底执行湿蚀刻工艺,以从暴露区去除掺杂氧化物层。
根据一些实施例,方法包括:在衬底上形成掺杂氧化物层;在掺杂氧化物层上形成图案化的抗蚀剂层,图案化的抗蚀剂层保持暴露的掺杂氧化物层的区域;在衬底上执行软溅射工艺,以弱化暴露区中的掺杂氧化物层;并且在软溅射工艺之后,执行湿蚀刻工艺,以从暴露区去除掺杂氧化物层。
根据一些实施例,方法包括:在半导体衬底上形成掺杂氧化物层;在掺杂氧化物层上形成图案化层,图案化层保持掺杂氧化物层的暴露区;在图案化层和掺杂氧化物层的暴露区上沉积保护层;在沉积保护层之后,对半导体衬底执行溅射工艺,由此去除暴露区中的部分保护层;并且在溅射工艺之后,对衬底执行湿蚀刻工艺,以从暴露区去除保护层和掺杂氧化物层。
上面论述了若干实施例的部件,使得本领域普通技术人员可以更好地理解本发明的各个方面。本领域普通技术人员应该理解,可以很容易地使用本发明作为基础来设计或更改其他用于达到与这里所介绍实施例相同的目的和/或实现相同优点的处理和结构。本领域普通技术人员也应该意识到,这种等效构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,可以进行多种变化、替换以及改变。

Claims (18)

1.一种形成半导体器件的方法,包括:
提供半导体衬底;
在所述半导体衬底上形成掺杂氧化物层;
在所述掺杂氧化物层上形成图案化层,所述图案化层保持所述掺杂氧化物层的暴露区;
在所述图案化层上以及所述掺杂氧化物层的暴露区上形成保护层;
对所述半导体衬底执行溅射工艺,从而去除位于所述图案化层的顶面上的所述保护层、并保留所述图案化层的整个侧壁上的所述保护层,所述掺杂氧化物层包括位于所述保留的所述保护层下方并且与所述保留的所述保护层直接接触的部分,并且所述溅射工艺去除所述保护层的其余部分而暴露所述掺杂氧化物层,并且借助于所述溅射工艺以弱化去除所述其余部分而暴露的所述掺杂氧化物层;
在所述溅射工艺之后,对所述半导体衬底执行湿蚀刻工艺,以从所述暴露区去除被弱化的所述掺杂氧化物层,并且所述湿蚀刻工艺去除所述保留的所述保护层、以及位于所述保留的所述保护层下方的所述掺杂氧化物层,使得所述掺杂氧化物层与所述图案化层的侧壁对齐;以及
在实施所述湿蚀刻工艺之后,去除所述图案化层以暴露所述掺杂氧化物层。
2.根据权利要求1所述的方法,其中,形成所述保护层包括:通过使用CH4、C4H8、CH3F、C4F8和C5F8中的至少一种形成聚合物层。
3.根据权利要求2所述的方法,其中,形成所述保护层包括:
在干蚀刻工具中形成所述聚合物层;以及
在所述干蚀刻工具中执行所述溅射工艺。
4.根据权利要求3所述的方法,其中,所述溅射工艺去除聚合物层位于所述暴露区的所述掺杂氧化物层上的部分,由此保留所述图案化层的侧壁上的所述聚合物层。
5.根据权利要求1所述的方法,其中,用旋涂工艺形成所述保护层。
6.根据权利要求1所述的方法,其中,用于所述溅射工艺的溅射气体包括Ar、N2、He、O2和H2中的至少一种。
7.根据权利要求1所述的方法,其中,用于所述溅射工艺的溅射气体的气压在5mTorr至100mTorr的范围内。
8.根据权利要求1所述的方法,其中,用于所述溅射工艺的电源功率在100W至2000W的范围内,以及偏置功率在20V至1000V的范围内。
9.根据权利要求1所述的方法,其中,以小于100转每分钟的转速执行所述湿蚀刻工艺。
10.根据权利要求1所述的方法,其中,所述湿蚀刻工艺包括:在30s至60s时间段内应用氢氟酸,在120s至180s的时间段内应用SC1材料,以及在30s至60s的时间段内应用氢氟酸。
11.根据权利要求1所述的方法,还包括:
在去除所述图案化层之后,执行热工艺,由此将掺杂剂从所述掺杂氧化物层引入未暴露区中的所述半导体衬底。
12.根据权利要求1所述的方法,其中,所述半导体衬底的非暴露区对应于鳍式场效应晶体管(FinFET)器件的一部分。
13.根据权利要求1所述的方法,其中,所述图案化层包括底部抗反射涂层(BARC)材料和抗蚀剂材料。
14.一种形成半导体器件的方法,包括:
在衬底上形成掺杂氧化物层;
在所述掺杂氧化物层上形成图案化的抗蚀剂层,所述图案化的抗蚀剂层保持所述掺杂氧化物层的暴露区;
在所述图案化的抗蚀剂层上,以及所述图案化的抗蚀剂层的部件的侧壁和所述掺杂氧化物层的所述暴露区上沉积保护层;
在所述衬底上执行软溅射工艺,从而去除位于所述图案化的抗蚀剂层的顶面上的所述保护层、并保留所述抗蚀剂层的部件的整个侧壁上的所述保护层,所述掺杂氧化物层包括位于所述保留的所述保护层下方并且与所述保留的所述保护层直接接触的部分,并且所述溅射工艺去除所述保护层的其余部分而暴露所述掺杂氧化物层,并且借助于所述软溅射工艺以弱化去除所述其余部分而暴露的所述掺杂氧化物层;
在所述软溅射工艺之后,执行湿蚀刻工艺,以从所述暴露区去除被弱化的所述掺杂氧化物层,并且所述湿蚀刻工艺去除所述保留的所述保护层、以及位于所述保留的所述保护层下方的所述掺杂氧化物层,使得所述掺杂氧化物层与所述图案化的抗蚀剂层的侧壁对齐;以及
在实施所述湿蚀刻工艺之后,去除所述图案化层以暴露所述掺杂氧化物层。
15.根据权利要求14所述的方法,其中,对所述暴露区中的所述掺杂氧化物层应用所述软溅射工艺,使得降低所述掺杂氧化物层的抗蚀刻性;以及
所述湿蚀刻工艺选择性地蚀刻所述暴露区中的所述掺杂氧化物层,使得不会越过所述图案化的抗蚀剂层的部件的侧壁横向蚀刻所述掺杂氧化物层。
16.一种形成半导体器件的方法,包括:
在半导体衬底上形成掺杂氧化物层;
在所述掺杂氧化物层上形成图案化层,所述图案化层保持所述掺杂氧化物层的暴露区;
在所述图案化层上以及所述掺杂氧化物层的所述暴露区上沉积保护层;
在沉积所述保护层之后,对所述半导体衬底执行溅射工艺,从而去除位于所述图案化层的顶面上的所述保护层、并保留所述图案化层的整个侧壁上的所述保护层,所述掺杂氧化物层包括位于所述保留的所述保护层下方并且与所述保留的所述保护层直接接触的部分,并且所述溅射工艺去除所述保护层的其余部分而暴露所述掺杂氧化物层,并且借助于所述溅射工艺以弱化去除所述其余部分而暴露的所述掺杂氧化物层;
在所述溅射工艺之后,对所述衬底执行湿蚀刻工艺,以从所述暴露区去除被弱化的所述掺杂氧化物层,并且所述湿蚀刻工艺去除所述保留的所述保护层、以及位于所述保留的所述保护层下方的所述掺杂氧化物层,使得所述掺杂氧化物层与所述图案化层的侧壁对齐;以及
在实施所述湿蚀刻工艺之后,去除所述图案化层以暴露所述掺杂氧化物层。
17.根据权利要求16所述的方法,其中,在干蚀刻工具中执行沉积所述保护层和所述溅射工艺。
18.根据权利要求16所述的方法,其中,执行所述溅射工艺包括:执行所述溅射工艺,以降低所述暴露区中的所述掺杂氧化物层的抗蚀刻性。
CN201510306755.9A 2014-10-02 2015-06-05 改进型蚀刻工艺 Active CN106206284B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/505,064 US9601333B2 (en) 2014-10-02 2014-10-02 Etching process
US14/505,064 2014-10-02

Publications (2)

Publication Number Publication Date
CN106206284A CN106206284A (zh) 2016-12-07
CN106206284B true CN106206284B (zh) 2021-02-09

Family

ID=55633292

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510306755.9A Active CN106206284B (zh) 2014-10-02 2015-06-05 改进型蚀刻工艺

Country Status (3)

Country Link
US (1) US9601333B2 (zh)
KR (1) KR101692119B1 (zh)
CN (1) CN106206284B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109585299B (zh) * 2018-11-19 2021-11-19 上海集成电路研发中心有限公司 一种减小FinFET侧墙刻蚀中鳍部损失的方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030230549A1 (en) * 2002-06-13 2003-12-18 International Business Machines Corporation Method for etching chemically inert metal oxides
CN102810480A (zh) * 2011-06-02 2012-12-05 中芯国际集成电路制造(北京)有限公司 半导体器件的制造方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020014403A1 (en) * 2000-04-07 2002-02-07 Eiichi Hoshino Method of fabricating reflective mask, and methods and apparatus of detecting wet etching end point and inspecting side etching amount
US6818553B1 (en) * 2002-05-15 2004-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Etching process for high-k gate dielectrics
US6812105B1 (en) * 2003-07-16 2004-11-02 International Business Machines Corporation Ultra-thin channel device with raised source and drain and solid source extension doping
CN100405581C (zh) * 2003-12-04 2008-07-23 国际商业机器公司 用于使用牺牲的注入层形成非无定形超薄半导体器件的方法
US7071122B2 (en) * 2003-12-10 2006-07-04 International Business Machines Corporation Field effect transistor with etched-back gate dielectric
US7947605B2 (en) * 2006-04-19 2011-05-24 Mattson Technology, Inc. Post ion implant photoresist strip using a pattern fill and method
KR100807948B1 (ko) * 2007-02-28 2008-02-28 삼성전자주식회사 저저항 금속 배선 형성방법, 금속 배선 구조 및 이를이용하는 표시장치
KR101343570B1 (ko) 2008-12-18 2013-12-20 한국전자통신연구원 보론이 도핑된 산화물 반도체 박막을 적용한 박막 트랜지스터 및 그의 제조방법
US8980719B2 (en) * 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
CN102598223B (zh) * 2009-11-09 2015-03-25 3M创新有限公司 用于半导体的各向异性蚀刻的工艺
US8394710B2 (en) * 2010-06-21 2013-03-12 International Business Machines Corporation Semiconductor devices fabricated by doped material layer as dopant source
US8580664B2 (en) * 2011-03-31 2013-11-12 Tokyo Electron Limited Method for forming ultra-shallow boron doping regions by solid phase diffusion
TWI541377B (zh) * 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
US9355888B2 (en) 2012-10-01 2016-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Implant isolated devices and method for forming the same
US8927406B2 (en) * 2013-01-10 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene metal gate
CN111968976A (zh) * 2013-06-20 2020-11-20 英特尔公司 具有掺杂的子鳍片区域的非平面半导体器件及其制造方法
US9171703B2 (en) * 2013-12-20 2015-10-27 Seagate Technology Llc Apparatus with sidewall protection for features
US9362404B2 (en) * 2014-02-21 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Doping for FinFET
US9564530B2 (en) * 2014-06-23 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure and method with solid phase diffusion

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030230549A1 (en) * 2002-06-13 2003-12-18 International Business Machines Corporation Method for etching chemically inert metal oxides
CN102810480A (zh) * 2011-06-02 2012-12-05 中芯国际集成电路制造(北京)有限公司 半导体器件的制造方法

Also Published As

Publication number Publication date
US9601333B2 (en) 2017-03-21
KR101692119B1 (ko) 2017-01-02
US20160099151A1 (en) 2016-04-07
CN106206284A (zh) 2016-12-07
KR20160040065A (ko) 2016-04-12

Similar Documents

Publication Publication Date Title
US9570317B2 (en) Microelectronic method for etching a layer
JP6499654B2 (ja) シリコン基板上に堆積されたマスクの選択的エッチング方法
US11289332B2 (en) Directional processing to remove a layer or a material formed over a substrate
KR20150094546A (ko) 다중 막층을 갖는 스페이서를 형성하기 위한 에칭 방법
US9111874B2 (en) Semiconductor structures and fabrication method thereof
US9911608B2 (en) Method of forming patterns
US10957550B2 (en) Semiconductor structure and formation method thereof
CN106206284B (zh) 改进型蚀刻工艺
US6066567A (en) Methods for in-situ removal of an anti-reflective coating during an oxide resistor protect etching process
CN102446732A (zh) 提高多次曝光稳定性的栅极返工工艺
KR100523839B1 (ko) 건식 리소그라피 방법 및 이를 이용한 게이트 패턴 형성방법
CN115036217A (zh) 一种改善晶圆边缘损伤的方法
KR100571629B1 (ko) 반도체 소자 제조 방법
US20190172870A1 (en) Image sensor
JPH04208528A (ja) 半導体装置の製造方法
CN111566781A (zh) 用于牺牲性掩模的改良去除的技术
US20130130503A1 (en) Method for fabricating ultra-fine nanowire
CN111696862A (zh) 半导体结构及其形成方法
KR100668729B1 (ko) 반도체 소자의 제조방법
CN115332069B (zh) 多晶硅干法刻蚀的方法及半导体结构的制备方法
JP2011029562A (ja) 半導体ウェハ端面の処理方法および半導体装置の製造方法
JP2010062212A (ja) 半導体装置の製造方法
KR100772699B1 (ko) 반도체 소자 제조 방법
CN113451123A (zh) 半导体结构及其形成方法
KR101063861B1 (ko) 반도체 소자의 플러그 폴리 패드 형성방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant