CN105256276B - The low-resistivity tungsten PVD of ionization and the coupling of RF power with enhancing - Google Patents

The low-resistivity tungsten PVD of ionization and the coupling of RF power with enhancing Download PDF

Info

Publication number
CN105256276B
CN105256276B CN201510564706.5A CN201510564706A CN105256276B CN 105256276 B CN105256276 B CN 105256276B CN 201510564706 A CN201510564706 A CN 201510564706A CN 105256276 B CN105256276 B CN 105256276B
Authority
CN
China
Prior art keywords
substrate
magnetic pole
target
chamber
film layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201510564706.5A
Other languages
Chinese (zh)
Other versions
CN105256276A (en
Inventor
曹勇
唐先民
斯里尼瓦斯·甘迪科塔
伟·D·王
刘振东
凯文·莫雷斯
***·M·拉希德
清·X·源
阿南塔克里希纳·朱普迪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN105256276A publication Critical patent/CN105256276A/en
Application granted granted Critical
Publication of CN105256276B publication Critical patent/CN105256276B/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • C23C14/165Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon by cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Composite Materials (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A kind of low-resistivity tungsten PVD of the ionization with enhancing and the coupling of RF power.The method and apparatus that embodiment described herein provides a kind of semiconductor devices and forms the semiconductor devices.The semiconductor devices includes substrate and gate electrode, which has source area and drain region, and the gate electrode is located on substrate and between source area and drain region.The gate electrode includes conductive film layer, the refractory metal nitride film layer on the conductive film layer, the siliceous film layer in the refractory metal nitride film layer and the tungsten film layer in the siliceous film layer being located on gate dielectric.In one embodiment, this method includes that substrate is made to be placed in processing chamber housing, and wherein the substrate includes source area and drain region, the gate dielectric between the source area and drain region and conductive film layer on the gate dielectric.This method further includes depositing refractory metal nitride film layers, deposit silicon-containing films and the deposits tungsten film layer in the siliceous film layer in the refractory metal nitride film layer on the conductive film layer.

Description

The low-resistivity tungsten PVD of ionization and the coupling of RF power with enhancing
The application is filed on 06 09th, 2011 application No. is 201180030291.3, and entitled " tool There is the divisional application of the ionization of enhancing and the application for a patent for invention of the low-resistivity tungsten PVD " of RF power coupling.
Background of invention
Invention field
The method and apparatus that the embodiment of the present invention relates generally to be formed integrated circuit.More particularly, implementation of the invention Example is related to being used to form gate electrode and the method and apparatus of relevant layers.
Description of related art
Integrated circuit may include that the microelectronic component more than 1,000,000 kinds or more, the microelectronic component are, for example, crystal Pipe, capacitor and resistor.One of which integrated circuit is field-effect transistor (for example, metal oxide semiconductor field-effect Transistor, (MOSFET or MOS)), field-effect transistor is formed on substrate (such as semiconductor substrate) and closes in circuit It performs various functions.Mosfet transistor includes gate structure, which is arranged between source area and drain region, and Source area is formed in drain region in the substrate.Gate structure generally includes gate electrode and gate dielectric.Gate electrode setting exists Above the gate dielectric, to control the channel region for being located at and being formed below gate dielectric and between source area and drain region The flowing of interior carrier.To improve the speed of transistor, grid can be made by the material by the resistivity of reduction grid.
Gate dielectric can be formed by dielectric material or by the high-k dielectric material with dielectric constant more than 4.0, Jie Electric material can be for example silica (SiO2), high-k dielectric material can be for example SiON, SiN, hafnium oxide (HfO2), hafnium silicate (HfSiO2), nitrogen-oxygen-silicon hafnium (HfSiON), zirconium oxide (ZrO2), zirconium silicate (ZrSiO2), barium strontium (BaSrTiO3Or BST), Lead zirconate titanate (Pb (ZrTi) O3Or PZT) and it is such.It should be noted, however, that the film lamination may include by other materials The layer of formation.
Gate stack may also include the metal layer being formed in the high k dielectric layer and be formed in the high k dielectric layer Polysilicon layer (polysilicon layer).The metal layer may include titanium (Ti), titanium nitride (TiN), tungsten (W), tungsten nitride (WN), nitrogen tungsten silicide (WSixNy) or other metals.
Since the treatment temperature handled in subsequent high temperature is likely to be breached 900 DEG C or higher, and tungsten (W) is in subsequent high temperature processing Middle tool thermal stability, therefore tungsten may be for gate electrode, wordline (word line) and the position in the integrated circuit device of DRAM type Line (bit line) is particularly useful.In addition, tungsten is a kind of high refractory material, thus tungsten can provide good inoxidizability and Reduce resistivity.Bulk tungsten typical case after melting and refining has the resistivity of 5.5 microhms-centimetre (μ ohm-cm).So And (it is, for example, less than when tungsten forms film), resistivity may be between 11 to 15 microhms-centimetre.For example, using The tungsten film that past PVD technique the is formed usually resistivity with 11 to 11.5 microhms-centimetre, and formed using CVD technology Tungsten film usually with 13 to 15 microhms-centimetre resistivity.
In addition, when film tungsten is combined with other materials (for example, WNi or TiN), the sheet resistance (sheet of gate stack resistance;Rs) may rise to it is very high.For example, W/WN on polysilicon (polysilicon, abbreviation poly) Gate electrode or W/WSi on the polysiliconxNyGate electrode can have the thin layer between 20 to 25 microhms-centimetre Resistance (Rs).In other examples, W/WN/Ti gate stacks on the polysilicon can have 15 microhms-centimetre or higher Rs, and W/TiN grids can have the R of up to 30 to 40 microhms-centimetres.The sheet resistance for reducing gate electrode allows to subtract Few medium thickness, reduction gate height and the distance between reduction of gate and bit line, the entirety to promote gate electrode are cut Throw-over degree.
In conventional MOS fabrication schemes, substrate needs transmit between multiple tools, and the tool, which has, is coupled to institute State multiple and different reactors on tool.The processing of transferring substrates needs the vacuum environment from a tool between multiple tools It middle removal substrate and is transmitted under ambient pressure in the substrate to the vacuum environment of second tool.In ambient enviroment In, substrate can touch mechanicalness and pollutant chemically during transmission, and the pollutant is, for example, particle, moisture etc. Deng, and these pollutants may damage the gate structure that will be manufactured and may form undesirable interface between the layers Layer, such as from right oxide (native oxide).When gate structure becomes smaller and/or thinner to improve device speed When, it forms boundary layer or pollution adverse effect will be more troubling.In addition, transmitting base between the combination tool Time spent by plate can reduce the manufacture yield of field-effect transistor.In addition, the geometry critical dimension (CD) of integrated circuit is gradually Subtract also has high requirement to improving material property.
Therefore, although tungsten is the metal that can be used for gate electrode, gate electrode can be helped improve by further decreasing the resistance of tungsten The performance and transformation gate material of lamination are to reduce the all-in resistance rate of entire gate electrode.Therefore, it is led in affiliated technology It needs to be used to form the method and apparatus with the gate electrode for improving characteristic in domain.
Summary of the invention
A kind of semiconductor devices is disclosed in one embodiment of the invention.The semiconductor devices includes substrate and grid electricity Pole lamination, the substrate has source area and drain region, and the gate electrode is located on the substrate, and the grid Polar stack is between the source area and drain region.The gate electrode includes the conductive film being located on gate dielectric It is layer, the refractory metal nitride film layer on the conductive film layer, siliceous in the refractory metal nitride film layer Film layer and the tungsten film layer in the siliceous film layer.
A kind of method forming gate electrode is disclosed in another embodiment of the present invention.The method includes: Substrate is placed in processing chamber housing, wherein the substrate include source area with drain region, the source area and the drain region it Between gate dielectric and the conductive film layer on the gate dielectric.The method further includes in the conductive film layer Upper depositing refractory metal nitride layer, the deposit silicon-containing films on the refractory metal nitride layer, and in the silicon-containing film Deposits tungsten film layer on layer.
A kind of method of deposition W film is disclosed in another embodiment of the present invention.The method includes:Using penetrating Frequently (RF) power provider or direct current (DC) power provider form plasma, the radio frequency work(in the processing region of chamber Rate power supply unit or dc power power supply unit are coupled to the indoor target of the chamber, and the target has first surface and the second table Face, the first surface are contacted with the processing region of the chamber, and the second surface is the opposite of the first surface Face;It is formed by plasma in conveying energy to the processing region of chamber, wherein the step of conveying energy includes penetrating certainly Frequency power provider delivering RF power conveys dc power to the target to target or from dc power power supply unit.The side Method further includes the central point rotating magnetron around the target, wherein the second surface in the target is arranged in the magnetron Adjacent place, the magnetron includes outside magnetic pole and inside magnetic pole, the outside magnetic pole include multiple magnets and it is described in Side magnetic pole includes multiple magnets, wherein the outside magnetic pole forms closed-loop magnetron assembly with the inside magnetic pole, and Wherein the ratio in the magnetic field caused by the outside magnetic pole and the magnetic field caused by the inside magnetic pole about 1.56 to about Between 0.57.In addition, the method includes heating the indoor substrate support of the chamber, utilize radio-frequency power power supply unit bias institute Substrate support, and the deposits tungsten film layer on substrate are stated, the substrate is placed on the indoor substrate support of the chamber.
A kind of plasma process chamber is disclosed in another embodiment of the present invention.The processing chamber housing includes target Material, radio-frequency power power supply unit or dc power power supply unit, ground connection and the baffle and substrate support heated, wherein the target Material has first surface and second surface, and the first surface contacts with processing region, and the second surface is described the The opposing face on one surface;The radio frequency or dc power power supply unit are coupled to the target;The ground connection and the baffle heated At least partially around a part for the processing region, and the baffle is electrically coupled to ground connection;And the substrate branch There is support member substrate receiving surface, the substrate receiving surface to be arranged in the generated beneath, and the substrate support is further Including electrode, the electrode is arranged in the lower section of the substrate receiving surface.The processing chamber housing further include bezel ring, deposition ring with And pedestal grounding assembly, wherein the deposition ring is arranged in the top of a part for the substrate support, wherein in process phase Between the bezel ring, be placed in a part for the deposition ring;And the wherein described pedestal grounding assembly is arranged in the substrate support Lower section, and the pedestal grounding assembly includes plate, and there is the plate U-shaped portion, the U-shaped portion to extend substrate support Between the ring support portion of the grounded barrier.In addition, the processing chamber housing further includes magnetron, the magnetron is set to institute The adjacent place of the second surface of target is stated, wherein the magnetron includes outside magnetic pole and inside magnetic pole, the outside magnetic Pole includes multiple magnets and the inside magnetic pole includes multiple magnets;The wherein described outside magnetic pole is formed with the inside magnetic pole Closed-loop magnetron assembly, wherein the outside magnetic pole respectively establishes magnetic field with the inside magnetic pole, by the outside magnetic The ratio in extremely generated magnetic field and the magnetic field caused by the inside magnetic pole is between about 1.56 to about 0.57.
Brief Description Of Drawings
Therefore, the mode of the features described above of the present invention can be understood in detail, can refer to the embodiment of the present invention and obtain letter above The present invention's to be summarized is discussed in greater detail, and section Example is illustrated in attached drawing.It should be noted, however, that attached drawing only illustrates this Exemplary embodiments of invention, therefore be not construed as limiting the scope of the present invention, because the present invention allows other on an equal basis to have The embodiment of effect.
Figure 1A indicates the circuit diagram of the dynamic storage cell in DRAM memory.
Figure 1B indicates gate electrode according to an embodiment of the invention.
Fig. 2 indicates the processing figure of the method according to an embodiment of the invention for forming gate electrode.
Fig. 3 indicates the plateform system according to an embodiment of the invention for being used to form gate electrode, the plateform system With multiple chambers.
Fig. 4 A indicate the sectional view of chamber according to an embodiment of the invention.
Fig. 4 B indicate the isometric view of chamber according to an embodiment of the invention.
Fig. 5 indicates the partial section view of process kit according to an embodiment of the invention.
Fig. 6 indicates the schematic diagram of impedance controller according to an embodiment of the invention.
Fig. 7 indicates the top partial view diagram of magnetron according to an embodiment of the invention.
To help to understand, in the conceived case, identical label can be used to indicate the similar elements shared in each attached drawing. It is expected that element disclosed in one embodiment can be advantageously applied in other embodiments without being described in further detail.
It specifically describes
Present invention generally provides a kind of sheet resistance (R for having and reducings) gate electrode structure and form the grid The method and apparatus of polar stack structure.In one embodiment, gate electrode structure device class for storage can be formed The semiconductor devices of type, the integrated circuit of all DRAM types in this way of semiconductor devices.
Figure 1A is gone back to, Figure 1A indicates the circuit diagram that such as can be used for the one device cell in DRAM memory.The list Transistor cell includes storage 10 and selection transistor 20.In this case, the selection transistor 20 is used as field Effect transistor forms and has the first source/drain electrode 21 and the second source/drain electrode 23, and active area (active Region) 22 are arranged between the first source/drain electrode 21 and the second source/drain electrode 23.It is grid above the active area 22 Insulating layer (or dielectric layer) 24 and gate electrode 25, gate insulating layer (or dielectric layer) 24 is with gate electrode 25 collectively as flat electricity Container and the charge density in the active area 22 is influenced, so as to the shape between the first source/drain electrode 21 and the second source/drain electrode At or block current conducting paths.
Second source/drain electrode 23 of the selection transistor 20 is connected to the first of storage 10 via connecting line 14 Electrode 11.The second electrode 12 of the storage 10 is connected to capacitor board 15 in turn, which stores single for DRAM Multiple storages of member configuration are shared.The first electrode 21 of the selection transistor 20 is further attached to bit line 16 so that The information being stored in storage 10 with form of electrical charges can be read and write.In this case, it is controlled via wordline 17 above-mentioned Read-write operation, the wordline 17 are connected to the gate electrode 25 of selection transistor 20.By applying voltage in the first source/drain electrode 21 and the second active area 22 between source/drain electrode 23 in generate current conducting paths the read-write operation can occur.
Different types of capacitor can be used as the electricity in DRAM type storage unit (DRAM type memory cell) Container 10, the capacitor 10 are, for example, trench capacitor, stacked capacitor and flat capacitor device.With being gradually increased The micromation degree of DRAM type storage unit and the section for still reducing trench capacitor, need to carry out additional measurement, In order to provide the circuit RC time constants of reduction, which can be for example the MOS device of DRAM type storage unit.RC time constants Refer to that capacitor charging is made up to saturation by resistor or is discharged to the time reached needed for capacitor initial voltage.The RC times are normal Product of the number equal to circuitous resistance and circuit capacitance.Gate electrode is a contribution sources of circuitous resistance.Therefore, reduce DRAM type A method of the RC time constants of MOS device is to reduce the resistance of gate electrode in storage unit.
Figure 1B indicates that the gate electrode 25B of MOS device 20B, MOS device 20B can be used in DRAM type storage unit, The DRAM type storage unit is, for example, the selection transistor 20 of Figure 1A.Semiconductor devices (such as MOS device 20B etc) formation On substrate 30.The substrate can be formed by any kind of semi-conducting material, and the material is, for example, silicon, germanium etc..MOS devices Part 20B includes the source area 21B and drain region 23B being located on substrate 30.It can be used Conventional doping techniques by adulterating the substrate 30 and form source area 21B and drain region 23B.Region below gate electrode 25B can be the lightly doped district 22B of substrate 30, And the dopant in the region has different electric conductivity from the dopant for being used for being formed source area 21B and drain region 23B.This Outside, shallow trench isolation regions 32 can be also formed on substrate 30.
Gate electrode 25B is formed between source area 21B and drain region 23B.Gate dielectric 24B, which may be formed at, to be located at On substrate regions above lightly doped district 22B.Gate dielectric can be made of various dielectric materials (including low k dielectric), So that the grid is isolated with substrate 30.It is conductive film layer 26B, conductive film layer 26B formation gate electrodes on gate dielectric 24B A part of lamination 25B.Conductive film layer 26B can be polysilicon or can be used for other conductive film layers of gate electrode.Gate electrode Lamination 25B further includes refractory metal nitride film layer 27B, and refractory metal nitride film layer 27B is located on conductive film layer 26B. Refractory metal nitride film layer may include the nitride of titanium nitride (TiN), tungsten nitride (WN) and other refractory metals, and enumerate Some other refractory metals, other refractory metals are, for example, zirconium, hafnium, tantalum, vanadium, chromium.The refractory metal film layer can have aboutTo aboutThickness.In one embodiment, the thickness of the refractory metal film layer is about
Siliceous film layer 28B is formed on refractory metal nitride film layer 27B.The siliceous film layer can be to utilize such as physics The silicon that the various technologies of vapor deposition (PVD), chemical vapor deposition (CVD) and atomic layer deposition (ALD) technology etc are deposited Film.The siliceous film layer can contain dopant, and the dopant is, for example, boron.In one embodiment, which can be silicon Change tungsten (tungsten silicide) film.The siliceous film layer can have aboutTo aboutThickness, for example, aboutGrid Polar stack 25B further includes 29B layers of tungsten film, and tungsten film layer 29B is located on siliceous film layer 28B.Tungsten can be α phases or β phases.At one In embodiment, the W film layer 29B of α phases can be formed, to help the resistance of tungsten is reduced.The tungsten film layer can have aboutTo aboutThickness.In one embodiment, which has aboutThickness.In one embodiment, the tungsten film Layer can have the resistance less than 10 microhms-centimetre.In one embodiment, which, which can have, is less than 9.5 microhms-li The resistance of rice.In one embodiment, which has the resistance less than 9.15 microhms-centimetre.
Gate electrode can have aboutExtremelyThickness.The R of gate electrodesIt can be in about 10 microhms-li Rice is between 14 microhms-centimetre, such as in about 11 microhms-centimetre between 12 microhms-centimetre.In one embodiment In, the Rs of gate electrode can be about 11.5 microhms-centimetre.Gate electrode, which has, is plugged on the tungsten layer and the refractory metal Silicon layer between nitride layer, thus compared to R can be reduced for the gate electrode of other typessUp to 50% to 60%.
On the polysilicon by WN or WSiN be formed by gate electrode may during deposition or deposition after with polysilicon Reaction, to form insulating layer (insulating layer) between the metal layer and polysilicon.It is processed in subsequent high temperature Cheng Zhongke is particularly easy to generate this insulating layer.In addition, WN deposit during, it is used reactivity nitrogen gas plasma can with it is more Natural oxide on crystal silicon or polysilicon reacts and generates silicon nitride (SiN), and silicon nitride is one kind of insulating layer.In addition, In the case of forming W/AN/Ti on polysilicon gate stacks, titanium (Ti) is easily reacted during subsequent heat treatment and Yi Duo Oxide is generated on crystal silicon and forms TiSiN or TiOxNy.Therefore, tungsten film layer 29B and refractory metal nitride film layer 27B it Between be inserted into silicon-containing film layer 28B and can help to overcome these disadvantages of other types of gate electrode.
Handle details
Fig. 2 illustrates the flow chart to form the processing 200 such as gate electrode herein described in the embodiment.Processing 200 can wrap It includes and forms conductive film layer (processing 202) on gate dielectric.This method, which may additionally include, places substrate in processing chamber housing, wherein The substrate includes source area and drain region, gate dielectric and conductive film layer, which is located at the source area and leakage Between polar region, and the conductive film layer is located on the gate dielectric.In processing 204, it can be formed on the conductive film layer resistance to Fiery metal nitride film layers.In processing 206, siliceous film layer is formed in the refractory metal nitride film layer.The processing 200 is also It may include the processing 208 that tungsten film layer is formed in the siliceous film layer.
In one embodiment, the formation of the refractory metal nitride film layer, siliceous film layer and tungsten film layer is all in situ (in situ) is formed, such as is formed in the processing system 300 as described in Fig. 3 and following explanation.In another embodiment In, the film layer is formed in other processing system, in the system, may between the forming step of certain film layers Want vacuum breaker (vaccum break).In other words, the generation of different film layers can be ex situ (ex-situ) formation.For example, can be The siliceous film layer is formed in the processing system different from tungsten film layer.Therefore, a part of siliceous film layer is likely to be exposed in oxygen And native oxide layer is formed in the siliceous film layer, the native oxide layer is, for example, silica (SiO2).At one In embodiment, before forming tungsten film layer, first removes the natural oxide film and clean the siliceous film layer.
In processing 202, conventional method can be used to form conductive film layer on gate dielectric.For example, CVD skills can be used Art deposits gate oxide on substrate 30, then also utilizes CVD technology deposit polycrystalline silicon layer.Forming refractory metal nitridation Before object film layer, substrate can be made to receive pre-clean process and/or degassing process (degas process).If for example, the conductive film Layer is polysilicon layer, and the Siconi of Applied Materials can be executed on substrateTMPre-clean process is to remove on the polysilicon layer Oxide.The SiconiTMPre-clean process uses NF3With NH3It is gone by the rapid chemical dry cleaning procedure of low temperature, two-step Except natural oxide.
In processing 204, usable PVD process forms the refractory metal nitride film layer.For example, when forming titanium nitride layer When, generate plasma and in application power on titanium target material using nitrogen with depositing titanium nitride film layer.In one embodiment In, first on the polysilicon layer titanium deposition seed layer to promote the attachment for the titanium nitride layer being subsequently formed on the titanium seed layer Power.In one embodiment, during the deposition of titanium seed layer and titanium nitride layer, direct current (DC) power can be applied and be derived from the target And applies radio frequency (RF) and be biased in the substrate support.
In processing 206, various technologies and method can be used to form siliceous film layer.In one embodiment, using tool There is the PVD process for the radio frequency power source for being coupled to target to carry out deposit silicon-containing films.The target can be silicon target, and can be by non-anti- Answering property gas generates plasma, which is, for example, argon gas (Ar), Krypton (Kr) etc..It for example, can be by Non-reactive gas of the flow from about 30 standard cubic centimeters (sccm) to about 60sccm (for example, about 40sccm) generates plasma Body.Radio-frequency power can be in watt level from about 300 watts (W) to being applied to the target, for example, about 500 watts under about 600 watts.The deposition The pressure of chamber is from about 1.5 millitorrs (mTorr) to about 4.5 millitorrs, for example, about 2.5 millitorrs.The substrate can be electrically " floating (floating) " and do not have bias.
In another embodiment, the direct current power source for being coupled to target can be used to generate the plasma.In addition, undergoing After some cycle-index, radio frequency power source can be used and cleaned to execute the radio frequency of the chamber and the target, to prevent in baffle Or form particle on other regions of chamber and pollute the subsequent film deposited in chamber, and can be used to remove and be formed In the natural oxide on target.The substrate can be electrical " floating " and not have bias.It in this embodiment, can be by range of flow From about 30sccm to about 60sccm, the argon gas of (for example, about 40sccm) generates plasma.It is applied to the dc power of the target Watt level can be from about 250 watts to about 550 watt, such as from about 300 watts to about 500 watt, for example, about 400 watts.The deposition chambers Pressure be from about 1.5 millitorrs to about 4.5 millitorrs, for example, about 2.5 millitorrs.In another embodiment, ALD or CVD can be used Technique forms the siliceous film layer.In two kinds of technique, silicon predecessor is used for reacting and deposits silicon-containing film on substrate Layer.In any technology for being used to form siliceous film layer, deposition rate can beExtremelyBetween.For example, Can be using the deposition rate of dc power power supply unitAnd can be using the deposition rate of radio-frequency power power supply unitThe thickness of the siliceous film layer can be aboutTo aboutBetween.In one embodiment, which can It is aboutThe sheet resistance of the gate electrode changes such as the thickness and for depositing the technology of the siliceous film layer Become.For example, experiment display, compared to radio frequency PVD technique deposit silicon for, with direct current PVD technique gate electrode TiN Silicon is deposited on layer can provide better gate electrode Rs
During processing 208, various distinct methods can be used to form tungsten film in the siliceous film layer.With reference to Fig. 4 A to Fig. 7 It can be used to illustrate the processing.In one embodiment, the method for forming the tungsten film includes using the tungsten target being coupled in chamber 100 The radio-frequency power power supply unit 181 or dc power power supply unit 182 of material 132, with formation etc. in the processing region 110 of chamber 100 Gas ions.Tungsten target material 132 has first surface 133 and second surface 135, the treatment region of the first surface 133 and chamber 100 Domain 110 contacts, and the opposing face that the second surface 135 is the first surface 133.It can be from the radio frequency work(coupled with target 132 Rate power supply unit 181 or the conveying energy of dc power power supply unit 182 are formed by plasma in the processing region 110 of chamber Body.Radio-frequency power can be in watt level from about 1 kilowatt (kW) to being applied to tungsten target material, for example, about 1.5 kilowatts under about 2.5 kilowatts. Dc power can be in watt level from about 1 kilowatt (kW) to being applied to tungsten target material, for example, about 1.5 kilowatts or 2.0 under about 2.5 kilowatts Kilowatt.It, in certain embodiments, should although Fig. 4 A show that both radio frequency power source and direct current power source are coupled to the target Chamber can only have a kind of power source and be coupled to the tungsten target material.In certain embodiments, the place of the tungsten film with low-resistivity is formed Reason can be only with being coupled to a direct current power source of the tungsten target material together with the rf bias (RF for being coupled to the substrate support bias).In other embodiments, formed thin tungsten film processing can only be coupled to a radio frequency power source of the tungsten target material together with It is coupled to the RT biass of the substrate support.
Magnetron 189 can be rotated around the central point of target 132, and wherein the magnetron 189 is positioned adjacent to target 132 At second surface 135.Magnetron 189 may include that outside magnetic pole 424 and inside magnetic pole 425, the outside magnetic pole 424 include multiple magnetic Body 423, and the inside magnetic pole 425 includes multiple magnets 423.The outside magnetic pole 424 can be formed with inside magnetic pole 425 and be closed back Road formula magnetron assembly, wherein the magnetic field caused by the outside magnetic pole exists with the ratio in magnetic field caused by the inside magnetic pole Between about 1.56 to about 0.57.Tungsten ion bombardment during the magnetron influences depositing operation with generated magnetic field acts on, and And the magnetron controls property of thin film with generated magnetic field energy, the property of thin film is, for example, crystallite dimension and film density. In one embodiment, processing chamber housing 100 is a kind of short distance chamber (short throw chamber), in the chamber, target with Spacing between substrate is between 55 millimeters to 75 millimeters, such as 73 millimeters or 65 millimeters.It can be used non-reacted Gas (such as argon gas or Krypton etc) lights plasma.It in one embodiment, can be by flow from about 35sccm to about The argon gas of 75sccm generates plasma.For example, the non-reactive gas flow can be about 70sccm, about 65sccm, about 60sccm or about 40sccm.
The processing further includes heating the indoor substrate support of the chamber 126.Substrate or substrate support can be heated to from about Temperature in the range of 200 DEG C to about 900 DEG C.In one embodiment, substrate or substrate support can be heated to from about 250 DEG C Temperature in the range of about 400250 DEG C.For example, the substrate or substrate support can be heated to 250 DEG C, 300 DEG C, 350 DEG C Or even 400 DEG C.In one embodiment, which may lack is applied to substrate backside in tungsten deposition process (backside) backside gas.Backside gas can be used for helping to modify the temperature distributing profile of the substrate during processing (temperature profile).However, experiment display, when without using backside gas, the resistivity of tungsten declines.It is believed that back side Gas may influence substrate to the electrical couplings effect of substrate support, the temperature distributing profile of substrate or both all by shadow It rings, and does not therefore have the property that backside gas may influence in deposition process and change tungsten film.The processing, which may also include, to be added The processing region 110 of the chamber is pressed to reach the pressure in the range of from about 1.0 millitorrs to about 10.0 millitorrs, such as reaches 4.5 Millitorr.In one embodiment, the processing region 110 is pressurized so that the plasma becomes capacitance coupling plasma (CCP plasmas).
Handle 208 during, using radio-frequency power power supply unit via the substrate support apply rf bias in The substrate.The rf bias may have from about 100 watts to about 800 watt in the range of watt level.In one embodiment, The rf bias may have from about 200 watts to about 400 watt in the range of watt level.For example, the rf bias can have About 100 watts, 200 watts, 300 watts or 400 watts of watt level.The substrate bias can be helped to control step coverage rate and be sputtered again (re-sputtering) is acted on so that the form of the tungsten deposited is reached and optimized.The rf bias additionally aids in control base board Ion bombardment effects, to influence property of thin film, the property of thin film is, for example, crystallite dimension, film density and other properties. Additional kinetic energy is supplied to tungsten ion by rf bias, these additional kinetic energy can stimulate big crystal grain to grow.In certain embodiments, should The frequency of rf bias will be less than the frequency of the radio frequency power source coupled with target.For example, in certain embodiments, the radio frequency Bias frequency can be 2MHz, while the frequency of the radio frequency power source can be 13.56MHz.In another embodiment, the radio frequency is inclined Voltage-frequency rate can be about 13.56MHz, and the frequency of the radio frequency power source can be about 60MHz.In general, when use radio-frequency power and When the combination of rf bias, radio-frequency power and the respective rf frequency of rf bias should be avoided in the target and the substrate support Between quadergy (reactive energy).
It, can be in the base on the substrate support 126 being set in chamber 100 by using various variables described above Tungsten film is deposited on plate 105.The tungsten film can be per minuteDeposit, and the tungsten film can thickness reachThe tungsten film Stress can be compression stress or tensile stress, and adjust answering for the tungsten film using target power, pressure and temperature Power.For example, the stress of the tungsten film can be in the range of from about -745.00MPa to about 1730MPa.In one embodiment, the tungsten The stress of film can be from about 1650.00 to the tensile stress between about 1660.00MPa.In another embodiment, the tungsten film Stress can be the compression stress of -743.00MPa.
It was found that arriving, can be formed with low by the tungsten ion bombardment effect controlled on depositing temperature, rf bias and substrate In the thin tungsten film of the resistance of 10.0 microhms-centimetre.For example, the resistance of the tungsten film can be less than 9.5 microhms-centimetre.Certain In embodiment, the resistance of the tungsten film can be down to 9.20 or 9.15 microhms-centimetre.In certain embodiments, the resistance of the tungsten film 9.00 microhms-centimetre can be less than.It, may be in the silicon film and the tungsten film layer during the deposition of tungsten film when silicon-containing layer is silicon Between formed tungsten silicide boundary layer.Therefore, which may include the silicon film being located on refractory metal nitride layer, position In the tungsten silicide film layer on the silicon film and the tungsten film layer in the tungsten silicide film layer.
Contribute to control grain size, crystal boundary (film density) and surface thick it is believed that controlling above-mentioned various different deposition parameters Rugosity, and these parameters may lead to the resistivity for reducing film tungsten.In addition, indoor from physical vapour deposition (PVD) (PVD) chamber The captured gas (trapped gas, such as argon or krypton) of neutral particle and even captured oxygen may also lead to institute The film of deposition has high resistivity.In addition, the electronics capture during film deposits acts on (trapping of Electron) it may become apparent, the above situation can also influence resistivity.Therefore, grain size, film density and use are adjusted The non-reactive gas (for example, argon) of low discharge may cause resistivity to reduce.Improve the density and grain size with formed compared with Big crystal grain is explainable, and why lower crystal boundary electron scattering effect can cause lower W film resistivity.
Bulk tungsten (bulk tungsten) at a temperature of higher than 3422 DEG C of tungsten fusing point by refining may have 5.5 Microhm-centimetre or lower electrical resistivity properties, and grain size appropriate and crystal boundary is consequently formed to reduce resistivity.So And film deposition temperature is normally limited, and the growth that therefore must have other factors that can influence grain size.
The pressure limit of CCP plasmas can be formed by using rf bias, raising and improves the power for applying target The ionization of enhancing tungsten is realized together with control depositing temperature.Ion bombardment can be promoted by promoting ionization, to increase Into tungsten grain growth, crystallite dimension and film density.Temperature also influences crystallite dimension and film density, but can be carried due to increasing temperature High crystallite dimension can but reduce film density, therefore need to obtain balance therebetween.Therefore, it reduces temperature and finer and close film is provided Layer.However, by using other variables to help to control grain growth and film density, can make property of thin film be less susceptible to by temperature because Element influences, to expand processing operation range (process window).
In addition, using the uneven ratio within the scope of some (especially when the imbalance ratio is returned with the inside magnetic The magnetic field intensity of road be more than the outside magnetic loop on magnetic field intensity when) magnetron assembly can promote ion bombardment.In addition, Consistency of thickness can be improved by adjusting the uneven ratio of the magnetron.Promoting ion bombardment can also make to capture in the film Or it is included in less argon, oxygen (O2) etc. substance, can also reduce the resistivity of the thin tungsten film.
Also gate electrode totality sheet resistance can be promoted to decline by growing W film in siliceous film layer.This is siliceous Film layer can influence the tungsten crystal orientation of plane 200, this interpretable tungsten why being deposited on silicon can provide preferable resistivity one can It can reason.The X-ray diffraction rocking curve of tungsten gates electrode lamination analysis shows that, compared to not silicon-containing layer similar gate electrode and Speech is reduced when being inserted into silicon layer between tungsten layer and titanium nitride layer along the tungsten crystal orientation of plane 200.
Platform
It can be connected after forming gate dielectric and forming conductive film layer and execute gate electrode in the processing system It is formed, which is, for example, the illustrated combination tools of Fig. 3 300.Combination tool 300 can be a kind of with double buffering chamber Room, the semiconductor processing tools of multiple processing chamber housings or the combination tool with double buffering chamber.The combination tool 300 can be Applied Materials purchased from California, USA sage's santa clara cityPlatform, and the platform has the chamber of various attachments Room.Factory interface (FI) 330 is attached to combination tool 300, which has one or more FOUPS 332, institute FOUPS 332 is stated for manipulating substrate and substrate being made to be sent to another region from a region of semiconductor factory.Factory Interface 330 removes substrate 358 to proceed by processing routine from FOUPS 332.Combination tool 300, which has, to be arranged in polygon knot The first buffer chamber 346 and the second buffer chamber 350 and first substrate transmission position 314 and second substrate in structure 344 pass Send position 316.First buffer chamber 346 can be that low vacuum buffers, and second buffer chamber 350 can be that height is true It is empty.The substrate transfer position can be chamber.
First load locking cavity 326 and the second load locking cavity 328 may be provided at the side of the polygonized structure 344 On.First except gas chamber 318 and second except gas chamber 320 may be generally disposed on the two opposite sides of the polygonized structure and with First load locking cavity 326 and the second load locking cavity 328 are adjacent.First pair of processing chamber housing 302 can be usually arranged with 304 On the two opposite sides of the polygonized structure and with it is described except gas chamber 318 and 320 and the buffer chamber 346 it is adjacent.Institute It can be the Versa for forming tungsten film on substrate to state first pair of processing chamber housing 302 and 304TMW PVD chambers, the chamber are commercially available Applied Materials from California, USA sage's santa clara city.It is polygon that second pair of processing chamber housing 306 with 308 may be generally disposed at this It is on the two opposite sides of shape structure 344 and adjacent with buffer chamber 350.Second pair of processing chamber housing can be Falcon TTN PVD chamber, the chamber are also purchased from the Applied Materials positioned at California, USA sage's santa clara city.Third is to processing chamber housing 310 and 312 may be generally disposed on the two opposite sides of the polygonized structure 344 and with second pair of processing chamber housing 306 and 308 and buffer chamber 350 it is adjacent.The third also may be used to the chamber that processing chamber housing can be for deposit silicon-containing materials, the chamber Purchased from the Applied Materials positioned at California, USA sage's santa clara city.
All processing chamber housings and load locking cavity can by multiple slit valves (not shown) and the buffer chamber 346 with 350 are selectively partitioned and establish out first environment 374 and second environment 376 respectively.The polygonized structure 344 has median wall 342, which separates the buffer chamber 346 and buffer chamber 350.The substrate transfer position 314 is provided with 316 and is worn It crosses the median wall 342 and reaches the access out of the ordinary of buffer chamber 346 and 350.Substrate transfer position 314 and 316 is by multiple slits Valve (not shown) and be selectively partitioned with 350 with adjacent buffer chamber 346.For example, a slit valve may be provided at first Between buffer chamber 346 and the first transfer chamber 314, an additional slit valve may be provided at the first transfer chamber 314 and Between two buffer chambers 350, a slit valve may be provided between the first buffer chamber 346 and the second transfer chamber 316, and One slit valve may be provided between the second buffer chamber 350 and the second transfer chamber 316.Allowed using the slit valve independent Control the indoor pressure of each chamber.In addition, each substrate transfer position 314 and 316 can be respectively provided with substrate pedestal (not shown) For in chamber inner support substrate.
The load locking cavity 326 and 328, except gas chamber 318 and 320, processing chamber housing 302 and 304 and substrate biography Send position 314 and 316 around the first buffer chamber 346.Processing chamber housing 302 and 304, except gas chamber 318 with 320 and loading Locking cavity 326 and each of 328 are selectively partitioned by slit valve (not shown) with buffer chamber 346.Positioned at buffering That in chamber 346 is the first mechanicalness substrate transfer mechanism (robotic substrate transport mechanism) 348, for example, multi-blade formula manipulator (multi-blade robot).The transport mechanism of other forms can also be used instead.It is shown The first mechanical substrate transfer mechanism 348 can with supporting substrate 358 substrate transmit blade 360.It can be mechanical by first Substrate transfer mechanism 348 carries the entrance of substrate 358 out of the ordinary using the blade 360 or leaves around the first buffer chamber 346 Chamber.
Second cushion chamber is surrounded by the processing chamber housing 306,308,310 and 312 and substrate transfer position 314 and 316 Room 350.In buffer chamber 350 is the second mechanicalness substrate transfer mechanism 352, for example, multi-blade formula manipulator.May be used also Use the transport mechanism of other forms instead.The second shown mechanical substrate transfer mechanism 352 can be with the base of supporting substrate 358 Plate transmits blade 360.Substrate 358 out of the ordinary can be carried by the second mechanical substrate transfer mechanism 352 using the blade 360 to enter Or leave the chamber for surrounding the second buffer chamber 350.
Buffer chamber 346 and 350 can have vacuum port, the vacuum port to be connected to pumping mechanism (not shown), the pump It is, for example, turbomolecular pump to send mechanism, and the pumping mechanism can evacuate the environment of chamber 346 and 350.The knot of the vacuum port Structure configuration can change with position according to the design requirement of system out of the ordinary.
For example, substrate processing step can begin at evacuates the buffer chamber 346 and 350 to vacuum by pumping mechanism State.The first mechanical substrate transfer mechanism 348 takes out substrate out of one of described load locking cavity (such as chamber 326) It 358 and carries the substrate and goes to the first stage of the processing, such as go to except gas chamber 318, except gas chamber 318 can be used to make 358 degasification of substrate including being formed in the structure on substrate 358, to prepare for carrying out subsequent processing.For example, can first make include After 30 degasification of substrate of the conductive film layer 26B of gate electrode 25B, remaining film layer of the gate electrode is just formed.
In the next stage of the processing, the substrate is set to be sent to the processing chamber housing 308 and any one of 308, with such as Processing 204 is executed as above-mentioned on the substrate.Once the first mechanical substrate transfer mechanism 348 no longer carries substrate, this first Mechanical substrate transfer mechanism 348 can service substrate in other chambers that (tend) is located at around buffer chamber 346.Once substrate It is processed to finish and undergo the PVD stages after depositing materials on substrates, the substrate goes to the processing then can be moved Two-stage, and the rest may be inferred.For example, then move the substrate to the processing chamber housing 310 and 312 any one, to hold The above-mentioned processing 206 of row, then move the substrate to processing chamber housing 302 and 304 any one, to execute processing step 208.
If the position of required processing chamber housing abuts the second buffer chamber 350, the substrate must be transmitted and enter the base Plate transmits one of position (such as position 314).The slit valve that the buffer chamber 346 is isolated with substrate transfer position 314 is set to open. First mechanical substrate transfer mechanism 348 transmits the substrate and enters the substrate transfer position 314.It is transmitted with the first mechanical substrate The substrate transmission blade 360 that mechanism 348 connects leaves the substrate transfer position 314 and substrate is made to stay on the base.It is being isolated After the buffer chamber 346 and the slit valve of substrate transfer position 314 are closed, make the buffer chamber 350 and the substrate traffic bit The second slit valve for setting 314 isolation is opened, to allow the substrate being connect with the second mechanical transport mechanism 352 to transmit blade 360 are inserted into the substrate transfer positions 314 and fetch the substrate.Once the substrate is located inside buffer chamber 350, second slit Valve is closed, and the second mechanical substrate transfer mechanism 352 can move freely in the substrate to desired processing chamber housing, or In a series of mobile substrate to the chambers being responsible for by buffer chamber 350 and the second mechanical substrate transfer mechanism 352.
After processing substrate completion, which loads into the FOUP 332 in factory interface (FI) 330, if any Movable substrate is needed to return to substrate transfer position.
Tungsten PVD chamber
Fig. 4 A depicted exemplaries semiconductor processing chamber 100, the semiconductor processing chamber 100 have top processing component 108, process kit 150 and base assembly 120, these components are all configured to the substrate that processing is positioned in processing region 110 105.Semiconductor processing chamber 100 can be tungsten PVD deposition chamber, such as the processing chamber housing on combination tool 300 shown in Fig. 3 302 or 304.Process kit 150 includes single-piece grounded barrier 160, lower section process kit 165 and isolation ring assemblies (isolator ring assembly)180.In shown aspect, which includes sputtering chamber, the sputtering Chamber is also referred to as physical vapor deposition chamber or PVD chamber, which can deposit single kind of material in substrate 105 from target 132 On.The processing chamber housing 100 can also be used to deposits tungsten.Expectable, other processing chamber housings (include the processing chamber from other manufacturers Room) it can adapted and benefited one or more embodiments of the invention described herein.
Processing chamber housing 100 includes chamber body 101, which has side wall 104, bottom wall 106 and top processing Component 108, the side wall 104, bottom wall 106 and top processing component 108 cross processing region 110 or heating region.Chamber Main body 101 is generally made by the stainless steel plate of multiple welding or single piece of aluminium.In one embodiment, side wall includes aluminium, And the bottom wall includes stainless steel plate.Side wall 104 usually contains slit valve (not shown) and passes in and out the processing chamber housing with substrate 105 100.Component in the top processing component 108 of the processing chamber housing 100 and the grounded barrier 160, base assembly 120 and bezel ring, 170 cooperations make the plasma generated in processing region 110 be limited in the region above substrate 105.
The bottom wall 106 of the chamber 100 supports base assembly 120.The base assembly 120 supports deposition ring during processing 502 and the related support substrate 105.Base assembly 120 is coupled to the bottom wall 106 of the chamber 100 by hoisting mechanism 122, should Hoisting mechanism 122 is configured to mobile base assembly 120 between processing position above and lower section transmission position.In addition, being in When position is transmitted in lower section, lift pin 123 is through moving through the base assembly 120, so that the substrate is located in and the pedestal group Part 120 is spaced at a distance, in order to exchange substrate with the substrate transfer mechanism being arranged outside processing chamber housing 100, the base Plate transport mechanism is, for example, hilted broadsword sword manipulator (not shown).Bellows 124 is generally located on the base assembly 120 and chamber bottom Between wall 106, so that the processing region 110 is isolated with the inside of the base assembly 120 and the exterior thereto.
Base assembly 120 generally includes support element 126, which is sealingly coupled to platform shell 128.Platform Shell 128 is usually to be made of metal material, and the metal material is, for example, stainless steel or aluminium.Coldplate (not shown) is usually set Set the temperature to adjust the support element 126 in the platform shell 128.Davenport et al. is licensed on April 16th, 1996 U.S. Patent No. 5507499 described in it is a kind of can base assembly 120 that is adjusted and benefiting from embodiment described herein, The patent is incorporated by reference herein in its entirety.
Support element 126 can be made of aluminium or ceramics.The substrate support 126 has substrate receiving surface 127, the substrate branch Support surface 127 receives and supports substrate 105, and the sputtering surface of the substrate receiving surface 127 and target 132 during processing (such as first surface 133) is substantially in parallel.Also there is the support element 126 perimeter edge 129, the perimeter edge 129 to terminate in Before the overhang edge 105A of the substrate 105.Support element 126 can be electrostatic chuck, ceramic main body, heater or above-mentioned three Combination.In one embodiment, support element 126 is an electrostatic chuck, which includes dielectric body, and dielectric master Body has conductive layer or electrode 126A in the embedded dielectric body.The dielectric body is usually by high thermal conductivity dielectric material It is made, the high thermal conductivity dielectric material be, for example, pyrolytic boron nitride (pyrolytic boron nitride), aluminium nitride, Silicon nitride, aluminium oxide (alumina) or equivalent material.Other sides of base assembly 120 and support element 126 described further below Face.In one embodiment, conductive layer 126A is configured such that when by the application direct current of electrostatic chuck power provider 143 (DC) when voltage is to conductive layer 126A, the substrate 105 being placed in the substrate receiving surface 127 will be electrostatically attracted and be fixed on Substrate receiving surface 127, to promote the effect of the hot biography between substrate 105 and support element 126.In another embodiment, radio frequency Substrate bias controller 141 is also coupled to conductive layer 126A so that during processing can be in holding voltage on substrate to influence plasma Reciprocation between body and the surface of substrate 105.
The chamber 100 is controlled by system controller 190, and system controller 190 is commonly designed to help processing chamber housing 100 Control and automation and generally comprise central processing unit (CPU, not shown), memory (not shown) and support circuits (or I/O, not shown).CPU can be one of any type of computer processor in industrial equipment, various for controlling System function, substrate movement, chamber treatment and support hardware (such as sensor, manipulator, motor etc.), and monitor these It handles (such as substrate support temperature, power provider variable, chamber process time, I/O signals, etc.).The memory connects It is connected to the CPU, and the memory can be one or more obtainable memories, such as random access memory at present (RAM), read-only memory (ROM), floppy disk, hard disk or any other form digital storage, and such memory can be built-in (local) or positioned at long-range (remote).Software instruction and data codified are simultaneously stored in the memory for the CPU Assign instruction.The support circuits are also connected to the CPU to support the processor using usual manner.The support circuits may include Cache (cache), power provider, clock circuit, input/output circuitry, subsystem and such.It is using this What task program (or computer instruction) decision that system controller 190 is read will execute on substrate.Preferably, the program is The software that can be read by system controller 190, the software include coding to execute and monitor related multiple-task, and execution The task and protocol step of the movement, various processing schemes that be executed in the processing chamber housing 100 with control.For example, the control Device 190 may include program coding, which includes to the substrate positioning instruction group of operation substrate component 120, grasping Make gas flow control valve to set the gas flow control instruction group for the sputter gas flow for flowing to the chamber 100, grasping Make throttle valve or gate valve (gate valve) to maintain the gas pressure control instruction group of the pressure in chamber 100, controlling Temperature control system (not shown) in base assembly 120 or side wall 104 is to be set separately the temperature of substrate or side wall 104 Temperature control instruction group and to monitor the processing monitor command group of the processing in the chamber 100.
The chamber 100 further includes process kit 150, which includes various components, these components can be easily From 100 removal of chamber, with for example remove the sputtering sedimentation object in component surface, replacement or repair component that these are etched or The chamber 100 is adjusted for other processing.In one embodiment, which includes isolation ring assemblies 180, connects Ground baffle 160 and ring assemblies 168, the ring assemblies 168 are used to be arranged around the perimeter edge 129 of the support element 126, and The perimeter edge 129 of the support element 126 terminates in before the overhang edge of the substrate 105.
Fig. 4 B are the isometric view of processing chamber housing 100, which is coupled to the processing position of combination tool 300. Combination tool 300 may also include other processing chamber housings, such as the processing chamber housing shown in Fig. 3, and in the processing chamber housing 100 Execution adjusts these chambers to execute one or more processing steps on substrate before or after deposition processes.Exemplary set Conjunction tool 300 may include the Applied Materials purchased from California, USA sage's santa clara cityOrSystem System.In one example, which can have multiple processing chamber housings, these processing chamber housings to be configured to execute a variety of bases Plate processing operation, such as cycle film deposition, chemical vapor deposition (CVD), physical vapour deposition (PVD) (PVD), atomic layer deposition (ALD), etching, precleaning, degasification, annealing, positioning and other processing substrates.Means of transportation (for example, manipulator 348) is set to In transfer chamber 346, which can be used to transmit substrate and enters or leave and one or more of the combination tool 300 attachment A chamber.
The top processing component 108 may also include radio-frequency power power supply unit 181, direct current (DC) power provider 182, adaptation Part (adaptor) 102, motor 193 and cap assemblies 130.Cap assemblies 130 generally include target 132, magnetron 189 and Gai Bao Enclose part (lid enclosure) 191.As shown in Figure 4 A and 4 B shown in FIG., when in closed position, side wall 104 supports the top Processing component 108.Ceramic target separator (ceramic target isolator) 136 is set to the isolation of the cap assemblies 130 Between ring assemblies 180, target 132 and adapter 102, sent out to avoid between isolation ring assemblies 180, target 132 and adapter 102 Raw vacuum leak.Adapter 102 is sealingly coupled to such side wall 104 and is construed as contributing to the removal top processing component 108 with ring assemblies 180 are isolated.
When positioned at processing position, target 132 is arranged in the adjacent place of the adapter 102 and is exposed to the processing chamber housing In 100 processing region 110.Target 132 contains the material that be deposited on during PVD or sputtering technology on substrate 105.It should be every Be arranged between target 132 and baffle 160 and chamber body 101 from ring assemblies 180 so that target 132 and the baffle 160 and Chamber body 101 electrically isolates.
During processing, relative to the ground area (such as chamber body 101 and adapter 102) of the processing chamber housing, utilize The power source bias target being arranged in the radio frequency (RF) power provider 181 and/or direct current (DC) power provider 182 132.In one embodiment, radio-frequency power power supply unit 181 includes radio-frequency power power supply unit 181A and radio frequency adaptation 181B, should Radio-frequency power power supply unit 181A and radio frequency adaptation 181B be configured to efficiently delivery of radio frequency energy to target 132.At one In example, radio-frequency power power supply unit 181A can generate frequency about 13.56MHz between about 60MHz and power about 0 to about 4.5 kilowatts of radio-frequency current.In one example, the dc power power supply unit 182A in the dc power power supply unit 182 can Convey the dc power at about 0 to about 2.5 kilowatt.In another example, radio-frequency power power supply unit 181A can be at target Radio frequency power density and the dc power power supply unit 182 of the generation between about 15 to about 45 kilowatts/square centimeter can be defeated Send the power density between about 15 to about 45 kilowatts/square centimeter.
During processing, gas (such as argon gas) is supplied to the processing region 110 via conduit 144 from air source 142.The gas Source 142 may include that non-reactive gas, such as argon gas, Krypton, helium or xenon, the gas can be impinged upon rich in energy Material is sputtered on target 132 and from target 132.The air source 142 also may include reactant gas, for example, oxygen-containing gas or The one or more of which gas of nitrogenous gas, the reactant gas can be reacted with sputter material to be formed on substrate Layer.It is discharged from chamber 100 by exhaust outlet 146 by with processing gas and by-product later, the exhaust outlet 146, which receives, to be used Later processing gas and the processing gas after guiding the use enter the exhaust manifolds of the gate valve 147 with position adjustable The pressure in processing region 110 in 148 to control the chamber 100.The exhaust manifolds 148 are connected to one or more exhaust pumps 149, the exhaust pump 149 is, for example, cryogenic pump (cryopump).In general, the sputtering in the chamber 100 during processing Gas pressure is set below the degree of atmospheric pressure, such as vacuum environment, and for example, which can be about 1.0 millitorrs to about 10.0 millitorrs.In one embodiment, which sets to about 2.5 millitorrs to about 6.5 millitorrs.From the gas in substrate Plasma is formed between 105 and target 132.Ion in the plasma is accelerated and advances and makes towards target 132 Material is evicted from from target 132.Deported target material is deposited on substrate.
Lid enclosing element 191 generally includes conductive wall 185, central feed part (center feed) 184 and the (ginseng of baffle 186 According to Fig. 4 A and Fig. 4 B).In the configuration of such structure, the conductive wall 185, central feed part 184, target 132 and a part of electricity Machine 193 is trapped among together and forms dorsal area 134.Dorsal area 134 is provided in the sealing area on 132 back side of target, and And it is usually filled during the dorsal area 134 is handled with removal using working fluid generated at target 132 during processing Heat.In one embodiment, which is configured to support motor 193 and magnetrons systems with central feed part 184 189, such motor 193 can rotate the magnetrons systems 189 during processing.In one embodiment, by using dielectric Layer (such as Delrin (Delrin), G10, A Deer (Ardel)) makes the radio frequency that motor 193 is conveyed with these power providers Or dc power electrically isolates.
Baffle 186 may include one or more dielectric materials, and the dielectric material, which is arranged to surround this, is delivered to target The RF energy of material 132 and preventing is delivered to the RF energy interference of target 132 and influences to be arranged in combination tool 103 Other processing chamber housings (see Fig. 4 B).In a structure configures, which may include Delrin, G10, Ardel or other class Like material and/or thin ground strip (thin grounded sheet) metallic RF baffle.
In one embodiment of the processing chamber housing 100, rf bias controller 141 is coupled to electricity (see Fig. 4 A and Fig. 6) To adjust the bias voltage on substrate during processing between pole and radio frequency ground connection, with the bombardment degree on control base board surface. In one embodiment, which is arranged in the adjacent place of the substrate receiving surface 127 of the support element 126, and the electrode packet Include electrode 126A.It is possible come the bombardment effect for adjusting substrate surface by coordination electrode to the impedance of ground connection in PVD reactors The property of deposition film is influenced, the property of the film is, for example, crystallite dimension, membrane stress, crystal orientation, film density, roughness and film Composition.Therefore, which can be used to change the film character at substrate surface.Fig. 6 illustrates rf bias control One embodiment of device 141, the rf bias controller 141 have radio frequency power source 694 and radio frequency adaptation 695.The radio frequency Substrate bias power set point may depend on the expectation handling result that will be completed on substrate.
Lower section process kit and substrate support
With reference to Fig. 4 A and Fig. 5, which includes deposition ring 502 and bezel ring, 170.Deposition ring 502 is usual Annular or annulus is made, with around the support element 126.Bezel ring, 170 at least partly covers the deposition ring 502 of a part. During processing, deposition ring 502 cooperates with one another with bezel ring, 170, to reduce perimeter edge 129 and substrate 105 in support element 126 Overhang edge 105A on sputtering sedimentation object generate.
Bezel ring, 170 is around the deposition ring 502 and covers the deposition ring 502 at least partly, to accommodate the deposition ring 502 And to block most sputtering sedimentation object for the deposition ring 502.Bezel ring, 170 is the corruption by being resistant to sputter plasma Made by the material of erosion effect, the material is, for example, metal material (such as, stainless steel, titanium or aluminium) or ceramic material is (such as, Aluminium oxide).In one embodiment, bezel ring, 170 is formed by stainless steel material.In one embodiment, the table of the bezel ring, 170 Face is by mariages aluminium electric arc spraying (such as CLEANCOATTM) processing, to reduce the particle fallen from 170 surface of bezel ring,.At one In embodiment, deposition ring 502 is the material example made by the dielectric material of the corrosiveness by can tolerate sputter plasma Ceramic material in this way, such as aluminium oxide.
Bezel ring, 170 includes annular ring 510, which includes top surface 573, which inclines radially inward Tiltedly and around the support element 126.The top surface 573 of the annular ring 510 have inner periphery (inner periphery) 571 with And outer periphery (outer periphery) 516.The inner periphery 571 includes flange 572, which is located at the deposition ring 502 Radially inner side recess portion (radially inward dip), which includes open inner groove.The open inner Groove is located between the surface 503 of the deposition ring 502 and flange 572, and flange 572 reduces sputtering sedimentation object in the open inner Deposition on groove.Size, shape and the position of the shapeable flange 572, so that the flange 572 can be with arc-shaped gaps 402 cooperations and complementary and form coiling (convoluted) between bezel ring, 170 and deposition ring 502 and shrink (contricted) flow path, the flow path prevent processing deposit from flowing to the support element 126 and platform shell 128 On.
573 tiltable of top surface and between horizontal plane have angle, the angle is between about 10 degree to about 20 degree.The lid The angle of the top surface 573 of ring 170 is designed to make the sputtering sedimentation object cumulant at the overhang edge of substrate 105 to subtract To minimum, the sputtering sedimentation object otherwise accumulated may be to the particulates' properties (particle on entire substrate 105 Performance it) adversely affects.The bezel ring, may include any material that can be compatible with processing chemical substance, the material E.g. titanium or stainless steel.
Spacing or gap 554 between the ring support portion 561 and bezel ring, 170 of baffle 160 form the S-shaped disk of plasma Around the path or labyrinth (labyrinth).The shape in the path is advantageous, for example, because the shape in the path can stop and hamper Plasma species are hindered to enter in this region, to reduce the unnecessary deposition of sputter material.In certain embodiments, may be used also Heat the grounded barrier 160.Multiple holes 594 can be formed along the baffle, the processing region in the chamber and lower section with supplied gas It is flowed between part, is that argon gas is made to flow into the processing region 110 for hitting target 132.The size in the hole 594 also may be used Adjustment, to prevent in the region below plasma leakage to board unit.If the hole 594 is too small, conductivity (conductance) too low, therefore the size in the hole need to be adjusted so that radio-frequency leakage minimize.In one embodiment In, pedestal grounding assembly 530 includes plate 531, which has U-shaped portion 534.The U-shaped portion extend the substrate support with Between the ring support portion 561 of the grounded barrier 160.U-shaped portion 534 helps to prevent the substrate support and pedestal grounding assembly Current potential is formed between bias on 530, to prevent forming plasma in the region.Therefore, U-shaped portion 534 can be established out secretly Area of space, to prevent from lighting plasma in process kit 165 and the region below the substrate support below this.
In one embodiment as shown in Figure 5, bezel ring, 170 is designed and during processing relative to the grounded barrier 160 And position, so that the bezel ring, 170 will not contact the grounded barrier and to be electrical " floating ".In addition, in one embodiment In, to position the bezel ring, 170 and deposition ring 502 so that bezel ring, 170 and deposition ring 502 with substrate 105 at a distance and Position is below the substrate receiving surface 127 of support element 126, to allow during processing by conveying RF and/or DC power to target The electric field " E " that material 132 is established out everywhere can be evenly consistent in substrate surface.
It should be noted that although the explanation in description content and Fig. 4 A to Fig. 6 herein all describes the substrate receiving surface 127 It is provided in 132 lower section of target, and bezel ring, 170 and deposition ring 502 are located at 127 lower section of substrate receiving surface, however it is such vertical The structure configuration directly oriented is (reference as reference not to limit the range of invention described herein Frame) to limit the relative ranks and distance between each component.In certain embodiments, substrate receiving surface 127 can It is positioned to other directions (such as can be located above or take horizontal alignment), while bezel ring, 170 and deposition ring relative to target 132 502 to the target 132 standoff distance of the standoff distance still than substrate receiving surface 127 to the target 132 it is farther.
In another embodiment it is desirable to ensure the depositional coating being formed on the upper surface 504 of deposition ring 502 (by being situated between Electric material is formed) do not have the electrical path being grounded, at any time to avoid the electric field in the region of substrate edges 105A And change (for example, service life of process kit).To avoid the film layer being deposited on the upper surface 504 and the baffle 160 and bezel ring, 170 generations are in electrical contact, and size, shape and the position of the flange 572 of bezel ring, 170 are designed, to avoid in deposition ring 502 Deposition forms bridge joint with the layer being deposited on bezel ring, 170 and avoids connecting with baffle 160.
The component of lower section process kit 165 can individually operate or in conjunction with running, with significantly reduce particle generate and it is miscellaneous Dissipate plasma (stray plasma).Current multi-part moulding (multiple part shield) provides the RF extended and returns Circuit diameter, the RF return paths can facilitate radio-frequency harmonic (RF harmonic) and causing formed outside processing chamber it is spuious it is equal from Daughter, for current multi-part moulding, above-mentioned single-piece baffle 160 can reduce RF return path, so as to The plasma confinement effect of enhancing is provided in interior processing region.Plane bottom plate (the flat base- of the single-piece baffle Plate additional shortening return path) is provided so that radio frequency is by the pedestal, to be further reduced harmonic wave and spuious plasma Body and landing point is provided for existing ground connection hardware simultaneously.
With reference to Fig. 5, in one embodiment, which further comprises pedestal grounding assembly 530, the pedestal Adjusted grounding assembly 530 to ensure the bellows 124 is during processing ground connection.If the radio-frequency potential of bellows 124 with Baffle 160 is different, then the bellows 160 may influence plasma uniformity and cause that electric arc occurs in the processing chamber housing, This will influence the property of deposited film layer, generates particle and/or influence processing consistency.In one embodiment, the base Seat grounding assembly 530 includes plate 531, which includes power spring 532.Power spring 532 and plate 531 be configured as when by Hoisting mechanism 122 makes base assembly 120 when " V " is just moved upward to processing position (being shown in Fig. 5), the power spring 532 and plate 531 can with the surface of baffle 160 generate it is in electrical contact.When making base assembly 120 in the direction " V " by hoisting mechanism 122 On when being moved to transmission position (being shown in Fig. 4 A), power spring 532 can be detached from baffle 160.
Magnetron assembly
With reference to Fig. 4 A and Fig. 7, in order to provide efficient sputtering, the back of the target 132 in processing component 108 above Magnetrons systems 189 are set, to establish magnetic field in the processing region 110 of 133 adjacent place of sputtering surface of target 132.It establishes Magnetic field captures electronics and ion, to improve plasma density and to improve sputter rate.One according to the present invention Embodiment, magnetrons systems 189 include source magnetron assembly 420, which includes turntable 413, outside magnetic pole 424 and inside magnetic pole 425.Turntable 413 allows generally for the axis of centres 194 relative to the chamber 100 to move the source magnetron assembly 420 and position the magnetic field in the source magnetron assembly 420 generate component.
Turntable 413 be usually adjusted in supported in vertical direction the outside magnetic pole 424 and inside magnetic pole 425 and with this 425 magnetic coupling of outside magnetic pole 424 and inside magnetic pole, which is the first magnetic polarity, and the inside magnetic pole 425 has There is second magnetic polarity opposite with first magnetic polarity.Inside magnetic pole 424 is separated by gap 427 with outside magnetic pole 425, and Each magnetic pole generally includes one or more magnets and pole piece (pole piece).It extends between two magnetic poles 424 and 425 Heating region is established out in magnetic field at first of the sputtering surface of neighbouring target 132.The heating region forms height Density plasma area, and the high-density plasma region usually follows the shape in gap 427.
In one embodiment as shown in Figure 7, magnetrons systems 189 are closed-loop designs.In general it can be formed The magnetron structures of " closed-loop (closed loop) " configure, and the outside pole ring of such magnetron is around magnetron Inside magnetic pole and formed between the magnetic pole and continuously follow cricoid gap.In the closed-loop structure, from target table Emaciated face goes out and forms " closed-loop " pattern into the magnetic field of target material surface, which can be used to make the closing Electronics in pattern is limited at target material surface, and this pattern is commonly referred to as " racetrack (racetrack type) " figure Case.The closed-loop magnetron structures opposite with open loop formula can make electronics be limited in the sputtering table close to target 132 High-density plasma is generated at face 133 and at this to improve sputtering raste (sputtering yield).
In one embodiment of magnetrons systems 189, the shaft 193A driven by motor 193 prolongs along the axis of centres 194 It stretches and supports turntable 413 and source magnetron assembly 420.During processing, the target 132 is significantly heated in sputtering effect.Cause This, which is sealed in the back of target 132, and fills the dorsal area 134 with the liquid of cooling water, this is cold But water is to be cooled down by cooling device (not shown) and recycle the cooling water (not shown) with water pipe.Shaft 193A passes through rotation It is (not shown) through the back chamber 100 to turn sealing element.Magnetrons systems 189 are immersed in the liquid being placed in the dorsal area 139 In vivo.
In certain embodiments, which is uneven magnetron.In one embodiment, this is opposite Disequilibrium (relative imbalance) is small, therefore the ratio with respect to disequilibrium is close to 1.Usual disequilibrium limit It is set to the integral summation magnetic intensity of entire outside magnetic pole 424 or the integral summation magnetic strength of magnetic flux divided by entire inside magnetic pole 425 Ratio obtained by degree or magnetic flux.It was found that arriving, by making outside magnetic field intensity than the disequilibrium of inside magnetic field intensity about Between 1.56 to about 0.57, the deposition processes of tungsten film can be promoted to improve bombardment effect and crystallite dimension.In one embodiment, The outside magnetic field intensity than the disequilibrium of inside magnetic field intensity ratio between about 1.15 to about 0.93.The magnetism is uneven Property cause some directive substrate 105 of magnetic field that the inside magnetic pole 425 launches and guide the sputtering particle ionized Towards substrate 105.Make considerable fraction of sputtering particle by ion however, the source magnetron assembly 420 can establish plasma Change.At least part of ionized particles of the imbalance introduction by magnetic field promote the consistent of film thickness towards substrate 105 Property.
Fig. 7 indicates the embodiment of magnetrons systems 189, in the magnetrons systems 189, outside magnetic pole 424 and inside magnetic Pole 425 forms the cyclic annular magnetron of closed-loop, and the cyclic annular magnetron of the closed-loop is around the center of the target 132 " M " and center.In one embodiment, radial symmetric shape (radially symmetric shaped) can be used The design of magnetron of design of magnetron, the radial symmetric shape is a kind of uneven and non magnetic symmetrical closed-loop magnetic control Pipe designs, and such design is conducive to use radio frequency and/or direct-current plasma deposition film.
In one embodiment, outside magnetic pole 424 is placed in the magnet 423 in inside magnetic pole 425 along first axle 491 It is symmetric and is in mal-distribution along the second axis 492.In one embodiment, outside magnetic pole 424 and inside magnetic pole 425 Have outside strong to inside magnetic field at any along first axle 491 and on the outside of this between magnetic pole 424 and inside magnetic pole 425 Disequilibrium is spent, the disequilibrium is between about 1.56 to about 0.57.In another implementation of uneven closed-loop design In example, outside magnetic pole 424 and inside magnetic pole 425 along first axle 491 and on the outside of this magnetic pole 424 and inside magnetic pole 425 it Between a little at outside to inside magnetic field intensity disequilibrium ratio between about 1.15 to about 0.93.It should be noted that in inside Magnetic field disequilibrium between magnetic pole and outside magnetic pole is different from the asymmetry of the magnet 423 for opposite second axis 492, because For the disequilibrium be that generated magnetic field is related between the magnetic pole, and the asymmetry be then in entire target material surface Average field strength at upper different zones exists or changes related.In the configuration of such structure, closed back using unbalanced Road formula magnetron establishes out peripheral plasma region " PR ", which can concentrate on the gap 427 Around.
In certain embodiments, in the region (see Fig. 7) or close of the magnetrons systems 189 above the second axis 492 It is usually above close to minimum magnet density with the plasma density in the processing region at highest magnet density region Or do not have plasma density in the processing region of magnet.The magnetron is on the substantial middle axis above the target and chamber Rotation, and therefore configured in one embodiment is so that the magnetron is revolved during processing around its center " M " by motor 193 Turn.
In one embodiment, which includes respectively multiple magnets 423, the magnetic with the inside magnetic pole 425 Body 423 can be configured to array pattern on the either side in gap 427, and cover the magnet with pole piece (pole piece) 423.In a structure configures, the arctic (N) of the magnet 423 in outside magnetic pole 424 is remotely located from the swivel plate 413, and the South Pole (S) of the magnet 423 in inside magnetic pole 425 is then remotely located from the swivel plate 413.In certain structures In configuration, yoke (not shown) is arranged between the magnet and swivel plate 413 of the inner and outer magnetic pole.
In one example, which includes outside magnetic pole 424 and inside magnetic pole 425, the outside magnetic pole 424 include 34 magnets, and the inside magnetic pole 425 includes 60 magnets, wherein the magnet 423 is by alnico alloy (Alnico alloy), rare earth material or other similar materials are made.In another embodiment, which has 56 magnets, and the inside magnetic pole has 36 magnets.In another embodiment, which has 56 magnetic Body, and the inside magnetic pole has 49 magnets.In yet another embodiment, which has 56 magnets, and should Inside magnetic pole 425 has 60 magnets.The unbalanced ratio helps to improve the consistency of thickness.If for example, making the injustice Weighing apparatus ratio is decreased to less than 1, which, which will reach, keeps the maximum difference measured between thickness and minimum measurement thickness small In 11%.In certain embodiments, when the imbalance ratio is about 0.57, which can reach about 5.5%.So And the resistivity of deposited tungsten may also be improved by reducing the imbalance ratio.Therefore, the injustice can be adjusted with other variables Weigh ratio, to provide than being formed by tungsten film with more low-resistance thin tungsten film according to conventional PVD and CVD method and holding maintenance together Good film consistency.
Although above-mentioned be related to the embodiment of the present invention, under the base region without departing from the present invention, when this hair can be made Bright other or further embodiment.

Claims (14)

1. a kind of plasma process chamber, the plasma process chamber include:
Target, the target have first surface and second surface, and the first surface contacts with processing region, and described the Two surfaces are the opposing face of the first surface;
Radio-frequency power power supply unit or dc power power supply unit, the radio-frequency power power supply unit or dc power power supply unit are coupled to institute State target;
Ground connection and the baffle that is heated, the baffle is at least partially around a part for the processing region, and the gear Plate is electrically coupled to ground connection;
There is substrate receiving surface, the substrate receiving surface to be arranged in the target for substrate support, the substrate support Lower section, the substrate support further comprise that electrode, the electrode are arranged in the lower section of the substrate receiving surface;
Bezel ring,;
Deposition ring, the deposition ring are arranged in the top of a part for the substrate support, wherein the lid during processing Ring is arranged in a part for the deposition ring;
Pedestal grounding assembly, the pedestal grounding assembly is arranged in the lower section of the substrate support, and the pedestal is grounded Component includes plate, and the plate has U-shaped portion, and the U-shaped portion extends in substrate support and the ring of the grounded barrier supports Between portion;And
Magnetron, the magnetron is set to the adjacent place of the second surface of the target, wherein the magnetron includes:
Outside magnetic pole, the outside magnetic pole include multiple magnets;And
Inside magnetic pole, the inside magnetic pole include multiple magnets, are closed wherein the outside magnetic pole is formed with the inside magnetic pole Loop-type magnetron assembly, wherein the outside magnetic pole respectively establishes magnetic field with the inside magnetic pole, wherein by the outside magnetic The magnetic field caused by extremely is with the ratio in the magnetic field caused by the inside magnetic pole 1.56 to 0.57.
2. plasma process chamber as described in claim 1, wherein by the multiple magnetic in the inside magnetic pole is arranged The magnetic field caused by body is more than by the magnetic field caused by the multiple magnet in the outside magnetic pole is arranged.
3. plasma process chamber as described in claim 1, wherein the electrode radio-frequency power bias is supplied to it is described Substrate support.
4. plasma process chamber as described in claim 1, wherein the grounded barrier is single-piece.
5. plasma process chamber as claimed in claim 4, wherein the grounded barrier has multiple holes, the multiple hole Through the ring support portion.
6. plasma process chamber as described in claim 1, wherein the magnetic field caused by the outside magnetic pole with The ratio in the magnetic field is 1.15 to 0.93 caused by the inside magnetic pole.
7. plasma process chamber as claimed in claim 6, wherein the closed-loop magnetron is around the target Center and center.
8. plasma process chamber as claimed in claim 7, wherein the closed-loop magnetron is radial symmetric.
9. plasma process chamber as claimed in claim 8, wherein the magnet of the outside magnetic pole and the inside magnetic pole It is symmetric along first axle and is in mal-distribution along the second axis.
10. plasma process chamber as claimed in claim 8, wherein the outside magnetic pole includes 34 magnets, and institute It includes 60 magnets to state inside magnetic pole.
11. plasma process chamber as described in claim 1, wherein the target is electric by shading ring with the grounded barrier Sexual isolation.
12. a kind of method of deposits tungsten film layer, the described method comprises the following steps:
Plasma, the radio frequency are formed in the processing region of chamber using radio-frequency power power supply unit or dc power power supply unit Power provider or dc power power supply unit are coupled to the indoor target of the chamber, and the target has first surface and the second table Face, the first surface are contacted with the processing region of the chamber, and the second surface is the first surface Opposing face;
The plasma formed in conveying energy to the processing region of chamber, wherein the step of conveying energy includes following step Suddenly:Dc power is conveyed to the target from radio-frequency power power supply unit delivering RF power to target or from dc power power supply unit Material;
Around the central point rotating magnetron of the target, wherein the second surface in the target is arranged in the magnetron Adjacent place, the magnetron includes:
Outside magnetic pole, the outside magnetic pole include multiple magnets;And
Inside magnetic pole, the inside magnetic pole include multiple magnets, wherein the inside magnetic pole by gap and with the outside magnetic pole It separates, and the outside magnetic pole forms closed-loop magnetron assembly with the inside magnetic pole, wherein by the outside magnetic The ratio in extremely generated magnetic field and the magnetic field caused by the inside magnetic pole is between 1.56 to 0.57;
Heat the indoor substrate support of the chamber;
Utilize substrate support described in radio-frequency power power supply unit bias;And
The deposits tungsten film layer on substrate, the substrate are placed on the indoor substrate support of the chamber.
13. method as claimed in claim 12, wherein the processing region is forced into 6.5 millitorrs, the substrate from 2.5 millitorrs The temperature of support element from 200 DEG C to 900 DEG C, with the frequency of the radio-frequency power power supply unit of target coupling be more than with it is described Substrate support coupling the radio-frequency power power supply unit frequency, the direct current power source be set to from 1.0kW to 2.5kW, and with the substrate support coupling the radio frequency power source be set to it is from 1.0kW to 2.5kW and described Spacing between target and the substrate is between 55 millimeters to 75 millimeters.
14. method as claimed in claim 12, wherein the tungsten film is with per minuteIt is deposited and thickness is
CN201510564706.5A 2010-06-10 2011-06-09 The low-resistivity tungsten PVD of ionization and the coupling of RF power with enhancing Expired - Fee Related CN105256276B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US35355410P 2010-06-10 2010-06-10
US61/353,554 2010-06-10
CN201180030291.3A CN102939657B (en) 2010-06-10 2011-06-09 There is ionizing and the low-resistivity tungsten PVD of RF power coupling of enhancing

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201180030291.3A Division CN102939657B (en) 2010-06-10 2011-06-09 There is ionizing and the low-resistivity tungsten PVD of RF power coupling of enhancing

Publications (2)

Publication Number Publication Date
CN105256276A CN105256276A (en) 2016-01-20
CN105256276B true CN105256276B (en) 2018-10-26

Family

ID=45095527

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201180030291.3A Active CN102939657B (en) 2010-06-10 2011-06-09 There is ionizing and the low-resistivity tungsten PVD of RF power coupling of enhancing
CN201510564706.5A Expired - Fee Related CN105256276B (en) 2010-06-10 2011-06-09 The low-resistivity tungsten PVD of ionization and the coupling of RF power with enhancing

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201180030291.3A Active CN102939657B (en) 2010-06-10 2011-06-09 There is ionizing and the low-resistivity tungsten PVD of RF power coupling of enhancing

Country Status (5)

Country Link
US (2) US8558299B2 (en)
KR (2) KR101603056B1 (en)
CN (2) CN102939657B (en)
TW (2) TWI517390B (en)
WO (1) WO2011156650A2 (en)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011156650A2 (en) 2010-06-10 2011-12-15 Applied Materials, Inc. Low resistivity tungsten pvd with enhanced ionization and rf power coupling
KR101457511B1 (en) * 2011-08-18 2014-11-04 코넬 유니버시티 Spin hall effect magnetic apparatus, method and applications
US9340866B2 (en) * 2012-03-30 2016-05-17 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
US20140001576A1 (en) * 2012-06-27 2014-01-02 Applied Materials, Inc. Lowering tungsten resistivity by replacing titanium nitride with titanium silicon nitride
KR20140028992A (en) * 2012-08-31 2014-03-10 에스케이하이닉스 주식회사 Semiconductor device with tungsten gate electrode and method for fabricating the same
WO2014105872A2 (en) * 2012-12-27 2014-07-03 Flir Systems, Inc. Deposition systems and methods
US9957601B2 (en) * 2013-03-15 2018-05-01 Applied Materials, Inc. Apparatus for gas injection in a physical vapor deposition chamber
GB201316366D0 (en) * 2013-09-13 2013-10-30 Teer Coatings Ltd Improvements to coating apparatus
US9312140B2 (en) 2014-05-19 2016-04-12 International Business Machines Corporation Semiconductor structures having low resistance paths throughout a wafer
WO2016011435A1 (en) 2014-07-17 2016-01-21 Cornell University Circuits and devices based on enhanced spin hall effect for efficient spin transfer torque
US10283334B2 (en) 2014-08-22 2019-05-07 Applied Materials, Inc. Methods and apparatus for maintaining low non-uniformity over target life
US9991124B2 (en) * 2015-01-20 2018-06-05 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate and manufacturing method thereof
US10103012B2 (en) 2015-09-11 2018-10-16 Applied Materials, Inc. One-piece process kit shield for reducing the impact of an electric field near the substrate
WO2017044791A1 (en) * 2015-09-11 2017-03-16 Applied Materials, Inc. One-piece process kit shield for reducing the impact of an electric field near the substrate
US9953812B2 (en) 2015-10-06 2018-04-24 Applied Materials, Inc. Integrated process kit for a substrate processing chamber
US10256076B2 (en) 2015-10-22 2019-04-09 Applied Materials, Inc. Substrate processing apparatus and methods
US10043670B2 (en) 2015-10-22 2018-08-07 Applied Materials, Inc. Systems and methods for low resistivity physical vapor deposition of a tungsten film
US10665426B2 (en) 2015-10-28 2020-05-26 Applied Materials, Inc. Methods for thin film material deposition using reactive plasma-free physical vapor deposition
US10167558B1 (en) 2017-10-13 2019-01-01 International Business Machines Corporation Phase shifted gas delivery for high throughput and cost effectiveness associated with atomic layer etching and atomic layer deposition
JP6979888B2 (en) * 2018-01-18 2021-12-15 東京エレクトロン株式会社 Tungsten film film forming method and film forming system
US20190259618A1 (en) * 2018-02-19 2019-08-22 Stmicroelectronics (Crolles 2) Sas Process for forming a layer of a work function metal for a mosfet gate having a uniaxial grain orientation
KR102446864B1 (en) * 2018-03-19 2022-09-23 삼성전자주식회사 Manufacturing method of a semiconductor device
CN110391231B (en) 2018-04-16 2020-09-22 联华电子股份有限公司 Semiconductor element and manufacturing method thereof
CN110391185B (en) 2018-04-17 2021-08-03 联华电子股份有限公司 Method for manufacturing semiconductor element
CN110391232A (en) 2018-04-17 2019-10-29 联华电子股份有限公司 Bit line grid and preparation method thereof
CN110391233B (en) 2018-04-17 2022-10-14 联华电子股份有限公司 Semiconductor element and manufacturing method thereof
KR20200000638A (en) * 2018-06-25 2020-01-03 주성엔지니어링(주) Appratus and method for processing substrate
KR20210080555A (en) * 2018-11-21 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 Device and method for regulating plasma distribution using phase control
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
CN112863983B (en) * 2019-11-28 2023-09-29 中微半导体设备(上海)股份有限公司 Lower electrode assembly for plasma processing apparatus and plasma processing apparatus
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11447857B2 (en) * 2020-09-15 2022-09-20 Applied Materials, Inc. Methods and apparatus for reducing tungsten resistivity
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
CN114959620A (en) * 2021-02-26 2022-08-30 鑫天虹(厦门)科技有限公司 Thin film deposition equipment and wafer bearing disc thereof
CN115074679A (en) * 2021-03-11 2022-09-20 台湾积体电路制造股份有限公司 Method for forming semiconductor structure and physical vapor deposition device and method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW507016B (en) * 1998-11-12 2002-10-21 Tokyo Electron Ltd Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US7179351B1 (en) * 2003-12-15 2007-02-20 Novellus Systems, Inc. Methods and apparatus for magnetron sputtering
CN101124350A (en) * 2005-02-03 2008-02-13 应用材料股份有限公司 A physical vapor deposition plasma reactor with RF source power applied to the target

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4605947A (en) 1983-03-07 1986-08-12 Motorola Inc. Titanium nitride MOS device gate electrode and method of producing
US4570328A (en) 1983-03-07 1986-02-18 Motorola, Inc. Method of producing titanium nitride MOS device gate electrode
US5824158A (en) * 1993-06-30 1998-10-20 Kabushiki Kaisha Kobe Seiko Sho Chemical vapor deposition using inductively coupled plasma and system therefor
US6156630A (en) 1997-08-22 2000-12-05 Micron Technology, Inc. Titanium boride gate electrode and interconnect and methods regarding same
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US6627050B2 (en) * 2000-07-28 2003-09-30 Applied Materials, Inc. Method and apparatus for depositing a tantalum-containing layer on a substrate
US7041201B2 (en) 2001-11-14 2006-05-09 Applied Materials, Inc. Sidewall magnet improving uniformity of inductively coupled plasma and shields used therewith
US7041200B2 (en) * 2002-04-19 2006-05-09 Applied Materials, Inc. Reducing particle generation during sputter deposition
US7019351B2 (en) * 2003-03-12 2006-03-28 Micron Technology, Inc. Transistor devices, and methods of forming transistor devices and circuit devices
KR100972812B1 (en) * 2004-03-24 2010-07-28 어플라이드 머티어리얼스, 인코포레이티드 Selectable dual position magnetron
JP2008508721A (en) * 2004-07-30 2008-03-21 アプライド マテリアルズ インコーポレイテッド Deposition of thin tungsten silicide layers and gate metal incorporation
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
WO2006095752A1 (en) * 2005-03-08 2006-09-14 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method and substrate treatment device
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
KR100662850B1 (en) * 2006-02-02 2007-01-02 삼성전자주식회사 Semiconductor device depositing metal layer of the plural number
KR100851891B1 (en) * 2006-12-15 2008-08-13 한국과학기술연구원 Multilayer structure having high spin injection ratio using conductive nitride as a spacer
US20080196661A1 (en) * 2007-02-20 2008-08-21 Brian West Plasma sprayed deposition ring isolator
US20080242072A1 (en) * 2007-03-26 2008-10-02 Texas Instruments Incorporated Plasma dry etch process for metal-containing gates
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US8263499B2 (en) * 2008-03-31 2012-09-11 Tokyo Electron Limited Plasma processing method and computer readable storage medium
WO2011156650A2 (en) 2010-06-10 2011-12-15 Applied Materials, Inc. Low resistivity tungsten pvd with enhanced ionization and rf power coupling

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW507016B (en) * 1998-11-12 2002-10-21 Tokyo Electron Ltd Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US7179351B1 (en) * 2003-12-15 2007-02-20 Novellus Systems, Inc. Methods and apparatus for magnetron sputtering
CN101124350A (en) * 2005-02-03 2008-02-13 应用材料股份有限公司 A physical vapor deposition plasma reactor with RF source power applied to the target

Also Published As

Publication number Publication date
KR101714607B1 (en) 2017-03-09
TWI572043B (en) 2017-02-21
US20110303960A1 (en) 2011-12-15
TW201628197A (en) 2016-08-01
US8558299B2 (en) 2013-10-15
CN102939657A (en) 2013-02-20
US8895450B2 (en) 2014-11-25
TWI517390B (en) 2016-01-11
KR20160031056A (en) 2016-03-21
KR101603056B1 (en) 2016-03-14
KR20130111518A (en) 2013-10-10
WO2011156650A3 (en) 2012-04-19
CN105256276A (en) 2016-01-20
US20140042016A1 (en) 2014-02-13
WO2011156650A2 (en) 2011-12-15
CN102939657B (en) 2016-08-10
TW201216469A (en) 2012-04-16

Similar Documents

Publication Publication Date Title
CN105256276B (en) The low-resistivity tungsten PVD of ionization and the coupling of RF power with enhancing
US9583349B2 (en) Lowering tungsten resistivity by replacing titanium nitride with titanium silicon nitride
TWI738410B (en) Target for use in plasma processing chamber and plasma processing chamber
JP2018537849A5 (en)
US10577689B2 (en) Sputtering showerhead
WO2016130787A1 (en) Interconnect structures and methods of formation
US11527437B2 (en) Methods and apparatus for intermixing layer for enhanced metal reflow
US11562925B2 (en) Method of depositing multilayer stack including copper over features of a device structure
US20210140029A1 (en) Methods and apparatus for processing a substrate
JP2011071353A (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20181026