CN105051879A - 具有光学测量的旋转式气体分配组件 - Google Patents

具有光学测量的旋转式气体分配组件 Download PDF

Info

Publication number
CN105051879A
CN105051879A CN201480013813.2A CN201480013813A CN105051879A CN 105051879 A CN105051879 A CN 105051879A CN 201480013813 A CN201480013813 A CN 201480013813A CN 105051879 A CN105051879 A CN 105051879A
Authority
CN
China
Prior art keywords
gas
substrate
optical pickocff
treatment chamber
gas distribution
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201480013813.2A
Other languages
English (en)
Inventor
K·格里芬
J·约德伏斯基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN105051879A publication Critical patent/CN105051879A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

所述为用于处理半导体晶圆的设备与方法,其中,定位在气体分配组件中的光学传感器于沉积期间测量温度和/或膜参数。

Description

具有光学测量的旋转式气体分配组件
技术领域
本发明的数个实施例一般涉及处理基板的设备与方法。更具体而言,本发明的数个实施例涉及在处理期间测量数个基板的温度与数个其他参数的设备与方法。
背景技术
形成半导体器件的工艺通常是在包含多个腔室的基板处理平台中进行的。在某些情况下,多腔室处理平台或群集工具的目的是在受控的环境中对基板相继地执行两种或更多种工艺。然而,在其他情况下,多腔室处理平台可以只对数个基板执行单个处理步骤;而额外的腔室旨在使该多腔室处理平台处理基板的速率最大化。在后一种情况下,对数个基板执行的工艺通常是批量处理,其中,在给定腔室中同时处理相对大量的(例如,25或50个)基板。以经济上可行的方法来说,对数个单独的基板执行的过于耗时的工艺(例如,对于ALD工艺以及一些化学气相沉积(CVD)工艺),批量处理是特别有益的。
基板处理平台或***的有效性通常通过拥有成本(COO)来量化。虽然受到许多因素影响,但拥有成本主要受***的占地面积(footprint)(即,在制造工厂中操作该***所需的总地板空间)以及***产量(即,每小时处理的基板数量)影响。占地面积通常包含维护所需的、相邻于该***的进出区域。因此,虽然基板处理平台可能是相对小的,但是,如果该基板处理平台需要从所有的侧边进出以进行操作与维护时,则该***的有效占地面积可能仍然过大。
随着半导体器件尺寸的缩小,半导体产业对于工艺变异的容忍度继续降低。为了满足这些更严格的工艺要求,产业已经开发了满足更严格的工艺窗要求的许多新工艺,但这些工艺常常需要更长的时间来完成。例如,为了将铜扩散阻挡层以外形相符的方式形成到高纵横比、65纳米或更小互连特征的表面上,可能需要使用ALD工艺。ALD是CVD的变体,相比CVD,ALD显示了优越的阶梯覆盖性。ALD是以原子层外延(ALE)为基础,ALE最初用于制造电致发光显示器。ALD运用化学吸附将反应前体分子的饱和单层沉积在基板表面上。这可以通过循环地交替使适当的反应前体脉冲到沉积腔室内来实现。反应前体的每一次注入通常都通过惰性气体净化来分离,以便向先前所沉积的层提供新的原子层,从而在基板表面上形成均匀的材料层。重复反应前体与惰性净化气体的数个循环以将材料层形成为所需的厚度。ALD技术的最大缺点在于,沉积速率比典型的CVD技术慢至少一个数量级。例如,某些ALD工艺可能需要从大约10至大约200分钟的腔室处理时间以在基板的表面上沉积高质量的层。在为了较佳的器件性能而选择此类ALD与外延工艺时,由于非常低的基板处理产量,在常规的单个基板处理腔室中制造数个器件的成本将增加。因此,当实现此类工艺时,需要连续的基板处理,以便在经济上可行。
评价沉积工艺动态地提供了确定所沉积的膜的质量与工艺完成的快速且精确的方法。然而,在处理时,可能无法在旋转型处理腔室中执行对晶圆的光学测量(例如,温度、膜特性)。在沉积期间将必需的光学器件(例如,高温计)定位在该处理腔室中是有问题的,因为这些光学仪器因沉积反应而变得受污染,致使这些光学仪器不适于使用。
因此,本领域中对于能够在空间原子层沉积期间测量晶圆与工艺参数的方法与设备具有需求。
发明内容
本发明的数个实施例涉及处理腔室,该处理腔室包括基座组件与气体分配组件。基座组件包含顶表面,该顶表面用于支撑多个基板并围绕中心轴转动多个基板。该顶表面具有内周边缘与外周边缘。气体分配组件在基座组件上方,并包括多个延长的气体端口与至少一个光学传感器,这些延长的气体端口用于将数个气体流动引向基座组件,并且至少一个光学传感器被引向基座组件。
在某些实施例中,至少一个光学传感器定位在数个气体端口中的一个气体端口中。
在一个或多个实施例中,多个延长的气体端口包含第一反应气体端口、第二反应气体端口、净化气体端口与至少一个真空端口。在某些实施例中,至少一个光学传感器定位在净化气体端口中。
在某些实施例中,气体分配组件进一步包括至少一个孔,该至少一个孔位于气体分配组件的不被暴露给反应气体的区域中,并且该至少一个光学传感器定位在该孔中。
在一个或多个实施例中,至少一个光学传感器从由以下各项组成的组中选出:高温计、干涉计与高温计和干涉计的组合。
在某些实施例中,至少一个光学传感器包括高温计,并且定位该至少一个光学传感器以在处理期间测量基座组件的温度。在一个或多个实施例中,具有用于测量温度的至少两个光学传感器,至少一个光学传感器经定位以测量靠近基座组件的内周边缘的温度,并且至少一个光学传感器经定位以测量靠近基座组件的外周边缘的温度。
在某些实施例中,至少一个光学传感器包括干涉计,并且定位该至少一个光学传感器以记录来自基板表面的干涉图。
在一个或多个实施例中,基座组件的顶表面包括用于支撑晶圆的边缘的至少一个凹槽。在某些实施例中,基座组件的顶表面中的至少一个凹槽的尺寸经设计,使得被支撑在该凹槽中的晶圆具有与基座组件的顶表面基本上共平面的顶表面。
某些实施例进一步包括控制器,该控制器与至少一个光学传感器通信以分析来自光学传感器的数据。
本发明的数个额外的实施例涉及在处理腔室中处理至少一个基板的方法。将至少一个基板定位在基座组件的顶表面中的凹槽中,该基板具有顶表面。使基板与基座组件在气体分配组件下方通过,该气体分配组件包括多个基本上平行的气体通道,这些气体通道将数个气体流动引向基板的顶表面,以便在基板的顶表面上沉积膜。通过被定位在气体分配组件的惰性区域处的光学传感器来进行光学测量。
在某些实施例中,光学传感器包括高温计,并且光学测量是温度测量。在一个或多个实施例中,在基座组件的外周边缘或该基座组件的内周边缘中的一处或多处进行温度测量。
在某些实施例中,光学传感器包括干涉计,并且光学测量测量该膜的性质。一个或多个实施例进一步包括:在处理期间评价光学测量以确定膜的质量。
附图说明
为了获得能获取以及可详细理解本发明的上述数个特征的方式,可通过参考本发明的数个实施例来获得上文中概述的本发明的更特定的描述,在所附附图中阐释了本发明的数个实施例。然而,应当注意,所附附图仅阐释本发明的数个典型实施例,并因此不应当认为这些典型实施例限制本发明的范围,因为本发明可承认其他同等地有效的数个实施例。
图1是根据本发明的一个或多个实施例的空间原子层沉积腔室的部分横截面侧视图;
图2示出根据本发明的一个或多个实施例的基座的立体图;
图3示出根据本发明的一个或多个实施例的派形(pie-shaped)气体分配组件的示意图;
图4是根据本发明的一个或多个实施例的基板处理***的平面示意图,该基板处理***配置有四个气体分配组件与具有加载站的四个电感耦合式派形等离子体源;以及
图5是根据本发明的一个或多个实施例的具有数个光学传感器的气体分配板的正视图。
为了便于理解,在可能的情况下,已经使用完全相同的参考标号来指示数个附图共同的完全相同的元件。构想了可有益地将一个实施例中的数个元件与特征结合进数个其他实施例,而无需进一步陈述。
具体实施方式
本发明的数个实施例涉及在处理期间进行对晶圆的多种光学测量的设备与方法。当在此说明书与所附权利要求书中使用时,互换使用术语“基板”与“晶圆”,两者都指表面或表面的部分,在该表面或表面的部分上可执行工艺。本领域技术人员将也能够理解,除非另外在上下文中清楚地指示,否则,提及基板也可以仅指该基板的部分。例如,在参照图1所描述的空间分离的ALD中,可将每一个前体传送到基板,但是,在任何给定时刻,仅将任何单个的前体流传送到基板的部分。此外,提及沉积在基板上可以指裸基板以及在上面沉积有或形成有一种或多种膜或特征的基板两者。
当在此说明书与所附权利要求书中使用时,互换使用术语“反应气体”、“前体”、“反应物”等类似用语以意指包含在原子层沉积工艺中可反应的种类的气体。例如,第一“反应气体”可以简单地吸收到基板表面上,并且可用于与第二反应气体进行的进一步的化学反应。
本发明的数个实施例提供在旋转式处理期间进行多种光学测量的设备与方法。空间原子层沉积喷淋头在注入器设计中具有数个分离的部分,这些分离的部分实质上免受反应气流。因此,没有膜会被沉积在被定位在这些位置中的光学仪器上。由于在注入器设计中的这些分离的部分,可以安装数个高温计、干涉计与相关器件来获得实际工艺环境的活动温度与膜特性数据。可以在工艺前、工艺期间和/或工艺后获取该数据。这些光学仪器的放置允许在从该晶圆顶侧的内部直径、中间或外部直径区域处监测基座或晶圆。
图1是根据本发明的一个或多个实施例的处理腔室20的部分的示意性横截面视图。该处理腔室20一般是可密封外壳,可在真空或至少在低气压条件下操作该可密封外壳。***100包含气体分配组件30,该气体分配组件30能够跨基板60的顶表面61来分配一种或多种气体。该气体分配组件30可以是本领域技术人员所知悉的任何合适的组件,并且在此描述的特定气体分配组件不应当被视为限制本发明的范围。气体分配组件30的输出面面向基板60的第一表面61。
与本发明的数个实施例一起使用的基板可以是任何合适的基板。在某些实施例中,基板是刚性的、分立的、总体为平面的基板。当在此说明书与所附权利要求书中使用时,当提及基板时,术语“分立的”意味着该基板具有固定尺寸。一个或多个实施例的基板是半导体基板,例如,200mm或300mm直径的硅基板。在某些实施例中,该基板是硅、硅锗、砷化镓、氮化镓、锗、磷化镓、磷化铟、蓝宝石和碳化硅中的一种或多种。
该气体分配组件30包括用于将一个或多个气流传送到基板60的多个气体端口,以及在每一个气体端口之间设置的用于将气流传送出处理腔室20的多个真空端口。在图1的实施例中,气体分配组件30包括第一前体注入器120、第二前体注入器130与净化气体注入器140。这些注入器120、130、140可受(未示出的)***计算机(例如,主机)控制,或受腔室专用控制器(例如,可编程逻辑控制器)控制。前体注入器120通过多个气体端口125将化合物A的反应前体的连续(或脉冲)流注入到处理腔室20中。前体注入器130通过多个气体端口135将化合物B的反应前体的连续(或脉冲)流注入到处理腔室20中。净化气体注入器140通过多个气体端口145将非反应或净化气体的连续(或脉冲)流注入到处理腔室20中。该净化气体从处理腔室20中去除反应材料与反应副产物。该净化气体一般是惰性气体,例如,氮气、氩气和氦气。气体端口145设置在气体端口125与气体端口135之间,以将化合物A的前体与化合物B的前体分离,从而避免这些前体之间的交叉污染。
在另一方面,可在将多种前体注入到处理腔室20中之前,将(未示出的)远程等离子体源连接至前体注入器120与前体注入器130。可通过将电场施加于远端等离子体源中的化合物来产生反应物的等离子体。可以使用能够激活所预期化合物的任何功率源。例如,可以使用采用基于DC、无线电射频(RF)与微波(MW)的放电技术的功率源。如果使用RF功率源,则该RF功率源可以是电容或电感耦合的。也可通过基于热的技术、气体击穿技术、高能量光源(例如,UV能)或是暴露于X射线源来产生激活。示例性远程等离子体源可从诸如MKS仪器公司(MKSInstruments,Inc.)与优仪半导体设备有限公司(AdvancedEnergyIndustries,Inc.)之类的销售商获得。
***100进一步包含泵***150,该泵***150连接到处理腔室20。泵***150一般配置成通过一个或多个真空端口155将气流排出处理腔室20。真空端口155设置在每一个气体端口之间,以便在气流与基板表面反应之后将这些气流排出处理腔室20,以及进一步限制这些前体之间的交叉污染。
该***100包含多个分区160,这些分区160设置在处理腔室20上的每一个端口之间。每一个分区的下方部分延伸到靠近该基板60的第一表面61,例如,距该第一表面61大约0.5mm或更远。在此方法中,这些分区160的下方部分与基板表面分开足以在这些气流与基板表面反应之后允许这些气流围绕着这些下方部分流向真空端口155的距离。箭头198指示这些气流的方向。因为这些分区160充当对这些气流的物理阻挡,因此这些分区160也限制了这些前体之间的交叉污染。所示的布置仅是说明性的,而不应当被视为限制本发明的范围。本领域技术人员将理解,所示的气体分配***仅是一个可能的分配***,并且可以运用其他形式的喷淋头与气体分配组件。
这类原子层沉积***(即,在***中,同时使多种气体分离地流向基板)被称为空间ALD。操作时,(例如,由机器人)将基板60传送到处理腔室20,并且可在进入该处理腔室之前或之后将该基板60放置在梭动机构(shuttle)65上。使梭动机构65沿着轨道70或某些其他合适的移动机构,穿过处理腔室20移动,从而在气体分配组件30下方(或上方)通过。在图1所示的实施例中,使梭动机构65沿直线路径移动穿过该腔室。如下文中进一步说明的那样,图3示出使数个晶圆沿圆形路径移动穿过旋转式处理***的实施例。
往回参考图1,当基板60移动穿过处理腔室20时,重复地使该基板60的第一表面61暴露给来自气体端口125的反应气体A与来自气体端口135的反应气体B,而来自气体端口145的净化气体在反应气体A与反应气体B之间。设计净化气体的注入以在将基板表面110暴露给下一前体之前,从先前的前体中去除未反应的材料。在每一次暴露给各种气流(例如,反应气体或净化气体)之后,由泵***150通过真空端口155排空气流。由于真空端口可以设置在每一个气体端口的两侧,因此,可通过两侧的真空端口155来排空气流。因此,来自各自的气体端口的气流垂直向下流向基板60的第一表面61,跨过基板表面110并围绕分区160的下方部分流动,最后向上流向真空端口155。以此方式,每一种气体都可跨基板表面110均匀地分配。箭头198指示气流的方向。在将基板60暴露给各种气流时,也可以旋转基板60。基板的旋转在避免在所形成的数个层中形成带状物(strip)方面是有用的。基板的旋转可以是连续或可按分立的步骤进行,并且当基板正在气体分配组件30下方通过时,或当基板在气体分配组件30之前和/或之后的区域中时,基板的旋转可发生。
一般在气体分配组件30之后提供足够的空间以确保完全暴露给最后的气体端口。一旦基板60已经完全在气体分配组件30下方通过,则第一表面61已经完全暴露给处理腔室20中每一个气体端口。接着,可以沿相反的方向往回或向前输送基板。如果基板60沿相反的方向移动,则基板表面可以按与第一次暴露相反的顺序再次暴露给反应气体A、净化气体与反应气体B。
基板表面110被暴露给每一种气体的程度可例如由从气体端口流出的每一种气体的流率与基板60的移动速率来确定。在一个实施例中,每一种气体的流率受控制,以便不从基板表面61中去除所吸收的数种前体。每一个分区之间的宽度、设置在处理腔室20上气体端口的数量以及使基板20跨气体分配组件通过的次数也可以确定基板表面61被暴露给各种气体的程度。因此,所沉积的膜的数量与质量可通过改变上述各种因素来优化。
虽然已经利用将气体流动向下引向被定位在气体分配组件下方的基板的气体分配组件30来进行了对工艺的描述,但应当理解,这种取向可以是不同的。在某些实施例中,气体分配组件30将气体流动向上引向基板表面。当在此说明书与所附权利要求书中使用时,术语“使……跨……通过”意味着已将基板从气体分配组件的一侧移动至另一侧,使得该基板的整个表面被暴露给来自该气体分配板的每一个气流。在没有进行额外描述的情况下,术语“使……跨……通过”不暗示气体分配组件、气体流动或基板位置的任何特定取向。
在某些实施例中,梭动机构65是用于携带基板60的基座66。一般而言,基座66是载体,该载体有助于跨基板形成均匀的温度。基座66沿两个方向(相对于图1的布置,从左至右或从右至左)或沿圆形方向(相对于图3)是可移动的。基座66具有用于携带基板60的顶表面67。基座66可以是经加热的基座,使得可对基板60加热以进行处理。作为示例,可由设置在基座66下方的数个辐射热灯90、加热板、电阻线圈或其他加热设备来加热基座66。
在另一个实施例中,如图2所示,基座66的顶表面67包含凹槽68以接受基板60。基座66一般比基板的厚度厚,使得在基板下方存在基座材料。在某些实施例中,凹槽68的尺寸经设计,使得当将基板60设置在凹槽68内部时,基板60的第一表面61与基座66的顶表面67保持水平或基板60的第一表面与基座66的顶表面67基本上共平面。换句话说,某些实施例的凹槽68的尺寸经设计,使得当将基板60设置在凹槽68中时,基板60的第一表面61不在基座66的顶表面67上突出。当在此说明书与所附权利要求书中使用时,术语“基本上共平面”意味着晶圆的顶表面与基座组件的顶表面在±0.2mm内是共平面的。在某些实施例中,这些顶表面在±0.15mm、±0.10mm或±0.05mm内是共平面的。
图1示出处理腔室的横截面视图,在该处理腔室中示出数个单独的气体端口。此实施例可以是直线型处理***或派形段,在直线型处理***中,跨气体分配板的整个宽度,这些单独的气体端口的宽度基本相同,而在派形段中,数个单独的气体端口改变宽度以与该派形一致。图3示出派形气体分配组件30的部分。将使基板沿弧形路径32、跨气体分配组件30通过。单独的气体端口125、135、145与真空端口155中的每一个在靠近气体分配组件30的内周边缘33处具有较窄的宽度,而在靠近气体分配组件30的外周边缘34处具有较大的宽度。这些单独的端口的形状或纵横比可以与气体分配组件30段的形状或纵横比成比例,或与气体分配组件30段的形状或纵横比不同。在某些实施例中,这些单独的端口的形状可经设计,使得沿路径32跨气体分配组件30通过的晶圆的每一点在每一个气体端口下方具有大约相同的驻留时间。基板的路径可以与气体端口垂直。在某些实施例中,这些气体分配组件中的每一个都包括多个延长的气体端口,这些延长的气体端口在与由基板横越的路径基本垂直的方向上延伸。当在此说明书与所附权利要求书中使用时,术语“与……基本垂直”意味着移动的总体方向与气体端口的轴近似垂直。对于派形气体端口,可认为气体端口的轴是由沿着端口长度延伸的端口的宽度的中点所定义的线。
可以使用具有多个气体注入器的数个处理腔室来同时处理多个晶圆,使得这些晶圆经历相同的工艺流程。例如,如图4所示,处理腔室100具有四个气体分配组件30(也称为注入器组件)与四个晶圆60。在处理的开始时,晶圆60可定位在分配组件30之间。将旋转式组件的基座66旋转45°将导致将每一个晶圆60移动到分配组件30以进行膜沉积。额外的45°旋转将使晶圆60移离分配组件30。利用数个空间ALD注入器,在相对于注入器组件移动晶圆期间,在该晶圆上沉积膜。在某些实施例中,旋转基座66,使得晶圆60在分配组件30的下方不停止。晶圆60与气体分配组件30的数量可以是相同或不同的。在某些实施例中,正在被处理的晶圆数量与存在的气体分配组件的数量相同。在一个或多个实施例中,正在被处理的晶圆的数量是气体分配组件的数量的整数倍。例如,如果有四个气体分配组件,则有4X个晶圆正在被处理,其中,X是大于或等于1的整数值。
图4中所示的处理腔室100仅表示一个可能的配置,而不应当被视为限制本发明的范围。在此,处理腔室100包含多个气体分配组件30。在所示实施例中,具有围绕处理腔室100间隔均匀的四个气体分配组件30。所示的处理腔室100为八边形,然而本领域技术人员将理解,这仅是一种可能的形状,而不应当被视为限制本发明的范围。所示的气体分配组件30为梯形,但本领域技术人员将理解,气体分配组件可以是像图3所示的数个派形段。当在此说明书与所附权利要求书中使用时,互换使用术语“派形”与“楔形”以描述总体为圆扇形的体。例如,楔形段可以是圆形或碟形物体的片段或部分。在某些实施例中,该片段或部分限定了小于180度的弧,更特定地,小于135度,最特定地,小于90度。在特定实施例中,派形或楔形扇形部分限定90°、85°、80°、75°、70°、65°、60°、55°、50°、45°、40°、35°、30°、25°、20°或15°的弧。派形段的内边缘可以终止于某点,或可以被截为平坦的边缘或圆形边缘。类似地,该派形段的外边缘可以是直的或弯曲的。
处理腔室100包含基板支撑装置,该基板支撑装置示出为圆形基座66或基座组件。基板支撑装置或基座66能够在气体分配组件30下方移动多个基板60。加载锁82可连接到处理腔室100的一侧,以允许加载基板60/从腔室100中卸载基板60。
在某些实施例中,处理腔室包括多个(未示出的)气体帷幕(gascurtain),这些气体帷幕被定位在气体分配板30与等离子体站80之间。每一个气体帷幕都可以形成阻挡,以避免或最小化来自气体分配组件30的处理气体的移动从气体分配组件区中迁移,以及避免或最小化来自等离子体源80的气体从等离子体区迁移。该气体帷幕可以包含气体与真空流的任何合适的组合,这些真空流可以将数个单独的处理部分与邻近的部分隔离。在某些实施例中,气体帷幕是净化(或惰性)气流。在一个或多个实施例中,该气体帷幕是将数种气体从处理腔室中去除的真空流。在某些实施例中,气体帷幕是净化气流与真空流的组合,使得按顺序具有净化气流、真空流与净化气流。在一个或多个实施例中,气体帷幕是数个真空流与数个净化气流的组合,使得按顺序具有真空流、净化气流与真空流。
在处理期间,监测基座组件和/或数个晶圆的温度,或监测正进行沉积的膜的特定性质可能是所期望的。例如,在形成期间测量膜的发射率。本发明的数个实施例在气体分配组件上或该气体分配组件中具有光学传感器,该光学传感器能在处理期间直接测量这些参数或多个其他参数。
相应地,本发明的一个或多个实施例涉及处理腔室,该处理腔室包括基座组件66与气体分配组件30。基座组件66包含顶表面67,该顶表面67用于支撑多个基板60,并如方向17所示围绕着中心轴18旋转多个基板60。基座组件66的顶表面67具有内周边缘90与外周边缘91。气体分配组件30定位在基座组件66上方。如图5所示,气体分配组件30包括多个延长的气体端口125、135、145以及真空端口155,这些延长的气体端口125、135、145用于将数个气体流动引向基座组件66,而真空端口155用于将气体流动引出该处理腔室。气体分配组件30也包含至少一个光学传感器95,该至少一个光学传感器95被引向基座组件66。
图5中所示的光学传感器95位于真空端口155与净化端口145之间。在该区域中,理论上仅数种净化气体可与光学传感器95接触。在某些实施例中,光学传感器95位于净化气体端口145之中。在此位置中,理论上仅数种净化气体可以流过光学传感器95,并且可以围绕该传感器95保持稳定的惰性气体流动。依赖于何时进行测量,可定位传感器95以测量靠近基座组件的内周边缘与外周边缘以及中间区域(该中间区域可以是基座组件或晶圆)的数个点。
光学传感器95可直接定位在气体分配板30的表面上,或是可定位在该气体分配板中的凹槽或孔96中。依赖于光学传感器95的尺寸,孔96可以是任何合适的尺寸。在某些实施例中,孔96的直径高达约10mm。
光学传感器可以是用于测量基板、膜或基座组件的光学性质的任何合适的传感器。光学传感器的非限制性示例包含高温计与干涉计。***可以使用多于一种类型的光学传感器的组合,以允许同时测多个参数。
虽然已经参考特定实施例描述了本文中的发明,但应当理解,这些实施例仅说明本发明的数个原则与应用。对于本领域技术人员而言显而易见的将是,可对发明的方法与设备进行各种修改与改变而不背离本发明的精神和范围。因此,本发明旨在包含落在所附权利要求书与其等价方案的范围中的所有修改与变化。

Claims (15)

1.一种处理腔室,所述处理腔室包括:
基座组件,所述基座组件包含顶表面,所述顶表面用于支撑多个基板并围绕中心轴转动所述多个基板,所述顶表面具有内周边缘与外周边缘;以及
气体分配组件,所述气体分配组件在所述基座组件上方,所述气体分配组件包括多个延长的气体端口与至少一个光学传感器,所述多个延长的气体端口用于将数个气体流动引向所述基座组件,所述至少一个光学传感器被引向所述基座组件。
2.如权利要求1所述的处理腔室,其中,所述至少一个光学传感器定位在所述多个气体端口中的一个气体端口中。
3.如权利要求1所述的处理腔室,其中,所述多个延长的气体端口包含第一反应气体端口、第二反应气体端口、净化气体端口与至少一个真空端口。
4.如权利要求3所述的处理腔室,其中,所述至少一个光学传感器定位在净化气体端口中。
5.如权利要求1到4中任一项所述的处理腔室,其中,所述气体分配组件进一步包括至少一个孔,所述至少一个孔位于所述气体分配组件的不被暴露给反应气体的区域中,并且所述至少一个光学传感器定位在所述孔中。
6.如权利要求1到4中任一项所述的处理腔室,其中,所述至少一个光学传感器是从由以下各项组成的组中选出的:高温计、干涉计与高温计和干涉计的组合。
7.如权利要求1到4中任一项所述的处理腔室,其中,所述至少一个光学传感器包括高温计,并且定位所述至少一个光学传感器以在处理期间测量所述基座组件的温度。
8.如权利要求7所述的处理腔室,其中,具有用于测量温度的至少两个光学传感器,至少一个光学传感器经定位以测量靠近所述基座组件的内周边缘的温度,并且至少一个光学传感器经定位以测量靠近所述基座组件的外周边缘的温度。
9.如权利要求1到4中任一项所述的处理腔室,其中,所述至少一个光学传感器包括干涉计,并且定位所述至少一个光学传感器以记录来自基板表面的干涉图。
10.如权利要求1到4中任一项所述的处理腔室,进一步包括控制器,所述控制器与所述至少一个光学传感器通信以分析来自所述光学传感器的数据。
11.一种在处理腔室中处理至少一个基板的方法,所述方法包括:
将所述至少一个基板定位在基座组件的顶表面中的凹槽中,所述基板具有顶表面;
使所述基板与基座组件在气体分配组件下方通过,所述气体分配组件包括多个基本上平行的气体通道,所述气体通道将气体流动引向所述基板的所述顶表面,以便在所述基板的所述顶表面上沉积膜;以及
通过定位在所述气体分配组件的惰性区域处的光学传感器来进行光学测量。
12.如权利要求11所述的方法,其中,所述光学传感器包括高温计,并且所述光学测量是温度测量。
13.如权利要求12所述的方法,其中,在所述基座组件的外周边缘或所述基座组件的内周边缘中的一处或多处进行所述温度测量。
14.如权利要求11所述的方法,其中,所述光学传感器包括干涉计,并且所述光学测量测量所述膜的性质。
15.如权利要求14所述的方法,进一步包括:在处理期间评价所述光学测量以确定所述膜的质量。
CN201480013813.2A 2013-03-15 2014-03-14 具有光学测量的旋转式气体分配组件 Pending CN105051879A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361787075P 2013-03-15 2013-03-15
US61/787,075 2013-03-15
PCT/US2014/027189 WO2014152304A1 (en) 2013-03-15 2014-03-14 Carousel gas distribution assembly with optical measurements

Publications (1)

Publication Number Publication Date
CN105051879A true CN105051879A (zh) 2015-11-11

Family

ID=51581178

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480013813.2A Pending CN105051879A (zh) 2013-03-15 2014-03-14 具有光学测量的旋转式气体分配组件

Country Status (5)

Country Link
US (1) US20160027674A1 (zh)
KR (1) KR20150132344A (zh)
CN (1) CN105051879A (zh)
TW (1) TWI683382B (zh)
WO (1) WO2014152304A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10273578B2 (en) * 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
CN110408910B (zh) * 2019-08-16 2020-08-28 中国科学院上海微***与信息技术研究所 高通量气相沉积设备及气相沉积方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101680092A (zh) * 2007-06-06 2010-03-24 艾克斯特朗股份公司 用于cvd反应器中的基板的表面温度的温度控制的装置
CN101809193A (zh) * 2007-09-26 2010-08-18 伊斯曼柯达公司 使用具有空间分隔的反应性气体的气体输送头和移动基材经过输送头的用于形成薄膜的方法和沉积***
CN102640260A (zh) * 2009-11-02 2012-08-15 丽佳达普株式会社 化学气相沉积设备以及化学气相沉积设备的温度控制方法
US20120225191A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6280790B1 (en) * 1997-06-30 2001-08-28 Applied Materials, Inc. Reducing the deposition rate of volatile contaminants onto an optical component of a substrate processing system
US6309465B1 (en) * 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
US6349270B1 (en) * 1999-05-27 2002-02-19 Emcore Corporation Method and apparatus for measuring the temperature of objects on a fast moving holder
US6342691B1 (en) * 1999-11-12 2002-01-29 Mattson Technology, Inc. Apparatus and method for thermal processing of semiconductor substrates
US6303501B1 (en) * 2000-04-17 2001-10-16 Applied Materials, Inc. Gas mixing apparatus and method
US6782337B2 (en) * 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
WO2002025708A2 (en) * 2000-09-20 2002-03-28 Kla-Tencor-Inc. Methods and systems for semiconductor fabrication processes
US6506252B2 (en) * 2001-02-07 2003-01-14 Emcore Corporation Susceptorless reactor for growing epitaxial layers on wafers by chemical vapor deposition
US6902622B2 (en) * 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
US7080940B2 (en) * 2001-04-20 2006-07-25 Luxtron Corporation In situ optical surface temperature measuring techniques and devices
US6828234B2 (en) * 2002-03-26 2004-12-07 Applied Materials, Inc. RTP process chamber pressure control
US6827789B2 (en) * 2002-07-01 2004-12-07 Semigear, Inc. Isolation chamber arrangement for serial processing of semiconductor wafers for the electronic industry
US6869641B2 (en) * 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US20040058560A1 (en) * 2002-09-20 2004-03-25 Applied Materials, Inc. Fast gas exchange for thermal conductivity modulation
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
DE102004056170A1 (de) * 2004-08-06 2006-03-16 Aixtron Ag Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
US7275861B2 (en) * 2005-01-31 2007-10-02 Veeco Instruments Inc. Calibration wafer and method of calibrating in situ temperatures
JP4551256B2 (ja) * 2005-03-31 2010-09-22 東京エレクトロン株式会社 載置台の温度制御装置及び載置台の温度制御方法及び処理装置及び載置台温度制御プログラム
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20080226842A1 (en) * 2006-09-29 2008-09-18 Tokyo Electron Limited Lazy Susan Tool Layout for Light-Activated ALD
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8007275B2 (en) * 2008-01-25 2011-08-30 Micron Technology, Inc. Methods and apparatuses for heating semiconductor wafers
JP2009283904A (ja) * 2008-04-25 2009-12-03 Nuflare Technology Inc 成膜装置および成膜方法
US8111978B2 (en) * 2008-07-11 2012-02-07 Applied Materials, Inc. Rapid thermal processing chamber with shower head
US8808456B2 (en) * 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
JP2010087467A (ja) * 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5062143B2 (ja) * 2008-11-10 2012-10-31 東京エレクトロン株式会社 成膜装置
US8147137B2 (en) * 2008-11-19 2012-04-03 Applied Materials, Inc. Pyrometry for substrate processing
JP5056735B2 (ja) * 2008-12-02 2012-10-24 東京エレクトロン株式会社 成膜装置
CN102308368B (zh) * 2008-12-04 2014-02-12 威科仪器有限公司 用于化学气相沉积的进气口元件及其制造方法
US20110290175A1 (en) * 2009-06-07 2011-12-01 Veeco Instruments, Inc. Multi-Chamber CVD Processing System
US20100310769A1 (en) * 2009-06-07 2010-12-09 Veeco Compound Semiconductor, Inc. Continuous Feed Chemical Vapor Deposition System
US20110030615A1 (en) * 2009-08-04 2011-02-10 Applied Materials, Inc. Method and apparatus for dry cleaning a cooled showerhead
US20110121503A1 (en) * 2009-08-05 2011-05-26 Applied Materials, Inc. Cvd apparatus
JP5287592B2 (ja) * 2009-08-11 2013-09-11 東京エレクトロン株式会社 成膜装置
WO2011028597A1 (en) * 2009-08-26 2011-03-10 Veeco Instruments, Inc. System for fabricating a pattern on magnetic recording media
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
WO2011052817A1 (ko) * 2009-10-28 2011-05-05 엘아이지에이디피 주식회사 금속 유기물 화학 기상 증착장치 및 이를 위한 온도제어방법
KR101062460B1 (ko) * 2009-12-16 2011-09-05 엘아이지에이디피 주식회사 화학기상증착장치의 온도제어방법
JP5392069B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
JP5497423B2 (ja) * 2009-12-25 2014-05-21 東京エレクトロン株式会社 成膜装置
JP5327147B2 (ja) * 2009-12-25 2013-10-30 東京エレクトロン株式会社 プラズマ処理装置
EP2360293A1 (en) * 2010-02-11 2011-08-24 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
KR20110095633A (ko) * 2010-02-19 2011-08-25 주성엔지니어링(주) 기판처리장치 및 방법
JP5882918B2 (ja) * 2010-02-24 2016-03-09 ビーコ・インストゥルメンツ・インコーポレイテッド 温度分配制御装置を用いる処理方法および処理装置
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
WO2011159690A2 (en) * 2010-06-15 2011-12-22 Applied Materials, Inc. Multiple precursor showerhead with by-pass ports
US20120000490A1 (en) * 2010-07-01 2012-01-05 Applied Materials, Inc. Methods for enhanced processing chamber cleaning
JP5625624B2 (ja) * 2010-08-27 2014-11-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
USD654882S1 (en) * 2010-10-21 2012-02-28 Tokyo Electron Limited Gas-separating plate for reactor for manufacturing semiconductor
USD655260S1 (en) * 2010-10-21 2012-03-06 Tokyo Electron Limited Gas-separating plate for reactor for manufacturing semiconductor
USD655259S1 (en) * 2010-10-21 2012-03-06 Tokyo Electron Limited Top plate for reactor for manufacturing semiconductor
USD655257S1 (en) * 2010-10-21 2012-03-06 Tokyo Electron Limited Top plate for reactor for manufacturing semiconductor
USD655261S1 (en) * 2010-10-21 2012-03-06 Tokyo Electron Limited Gas-separating plate for reactor for manufacturing semiconductor
USD654883S1 (en) * 2010-10-21 2012-02-28 Tokyo Electron Limited Top plate for reactor for manufacturing semiconductor
USD654884S1 (en) * 2010-10-21 2012-02-28 Tokyo Electron Limited Top plate for reactor for manufacturing semiconductor
US20120225204A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20120225203A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20120225206A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20120227665A1 (en) * 2011-03-11 2012-09-13 Applied Materials, Inc. Apparatus for monitoring and controlling substrate temperature
WO2012139006A2 (en) * 2011-04-07 2012-10-11 Veeco Instruments Inc. Metal-organic vapor phase epitaxy system and process
US20120269967A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Hot Wire Atomic Layer Deposition Apparatus And Methods Of Use
US20130068320A1 (en) * 2011-06-17 2013-03-21 Son Nguyen Protective material for gas delivery in a processing system
US20130136862A1 (en) * 2011-11-30 2013-05-30 Intermolecular, Inc. Multi-cell mocvd apparatus
US8633115B2 (en) * 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
CN104040703B (zh) * 2012-01-26 2016-11-09 应用材料公司 具有顶部基板支撑组件的热处理腔室
US20130192761A1 (en) * 2012-01-31 2013-08-01 Joseph Yudovsky Rotary Substrate Processing System
US20130344688A1 (en) * 2012-06-20 2013-12-26 Zhiyuan Ye Atomic Layer Deposition with Rapid Thermal Treatment
TW201610215A (zh) * 2014-03-27 2016-03-16 應用材料股份有限公司 用於低熱預算處理的循環尖峰退火化學曝露
KR102421679B1 (ko) * 2014-04-18 2022-07-14 어플라이드 머티어리얼스, 인코포레이티드 서셉터 온도 확인을 위한 장치 및 사용 방법들

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101680092A (zh) * 2007-06-06 2010-03-24 艾克斯特朗股份公司 用于cvd反应器中的基板的表面温度的温度控制的装置
CN101809193A (zh) * 2007-09-26 2010-08-18 伊斯曼柯达公司 使用具有空间分隔的反应性气体的气体输送头和移动基材经过输送头的用于形成薄膜的方法和沉积***
CN102640260A (zh) * 2009-11-02 2012-08-15 丽佳达普株式会社 化学气相沉积设备以及化学气相沉积设备的温度控制方法
US20120225191A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition

Also Published As

Publication number Publication date
KR20150132344A (ko) 2015-11-25
US20160027674A1 (en) 2016-01-28
TWI683382B (zh) 2020-01-21
TW201440163A (zh) 2014-10-16
WO2014152304A1 (en) 2014-09-25

Similar Documents

Publication Publication Date Title
US10900125B2 (en) Apparatus for susceptor temperature verification and methods of use
JP6359567B2 (ja) 空間分離原子層堆積のための装置およびプロセス閉じ込め
CN105765697B (zh) 用于批处理的倾斜板及其使用方法
JP5989682B2 (ja) 原子層堆積のための装置及びプロセス
US20120225191A1 (en) Apparatus and Process for Atomic Layer Deposition
US9869021B2 (en) Showerhead apparatus for a linear batch chemical vapor deposition system
KR20150131265A (ko) 회전 플래튼 및 챔버를 위한 플라즈마 소스
KR20170049588A (ko) 공간적인 원자 층 증착에서의 가스 분리 제어
US10959294B2 (en) High temperature heater for processing chamber
CN105051879A (zh) 具有光学测量的旋转式气体分配组件
US11887818B2 (en) Methods and systems to modulate film stress
KR101887192B1 (ko) 롤투롤 원자층 증착장치
US20170321325A1 (en) Apparatus And Methods For Depositing ALD Films With Enhanced Chemical Exchange
WO2014189650A1 (en) Showerhead apparatus for a linear batch chemical vapor deposition system
CN116875961A (zh) 原子层沉积设备
KR101385593B1 (ko) 원자층 증착장치 및 그 증착방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20151111

WD01 Invention patent application deemed withdrawn after publication