CN104715095A - Graphical clock quality detecting and analyzing method - Google Patents

Graphical clock quality detecting and analyzing method Download PDF

Info

Publication number
CN104715095A
CN104715095A CN201310689859.3A CN201310689859A CN104715095A CN 104715095 A CN104715095 A CN 104715095A CN 201310689859 A CN201310689859 A CN 201310689859A CN 104715095 A CN104715095 A CN 104715095A
Authority
CN
China
Prior art keywords
clock
design
window
graphical
feature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310689859.3A
Other languages
Chinese (zh)
Other versions
CN104715095B (en
Inventor
汪燕芳
董森华
刘毅
牛飞飞
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Beijing Empyrean Technology Co Ltd
Original Assignee
Beijing CEC Huada Electronic Design Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Beijing CEC Huada Electronic Design Co Ltd filed Critical Beijing CEC Huada Electronic Design Co Ltd
Priority to CN201310689859.3A priority Critical patent/CN104715095B/en
Publication of CN104715095A publication Critical patent/CN104715095A/en
Application granted granted Critical
Publication of CN104715095B publication Critical patent/CN104715095B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

As a clock system structure of a large-scale integrated circuit becomes more complex day by day, and how to fast find the key problem affecting the clock quality in the clock designing and synthesizing processes and shorten the clock designing and synthesizing convergence period becomes a focus problem which the clock industry pays close attention to. According to the graphical clock quality detecting and analyzing method, problems existing in current clock design are fast detected through a simple key, a detection result is displayed through a graphical window, and therefore the complexity of analyzing the problems by design engineers is greatly reduced; in a detailed result analyzing window, characteristic structures of the existing problems are displayed graphically, and an error structure definition, the influence on a whole clock system and how to optimize the error structure are briefly explained; generation of a character report corresponding to the detection result is supported, and therefore communication between the front-end design engineers and the rear-end design engineers is greatly facilitated.

Description

A kind of graphical clock quality detects the method analyzed
Technical field
Graphical clock quality determination method is the existing problems in a kind of automatic detection clock circuit of eda tool in Clock Design, clock combined process, and graphic software platform affects the key feature structure of clock quality, and provides the method for prioritization scheme.The invention belongs to EDA design field.
Background technology
Along with the expansion of integrated circuit (IC) design scale and the increasingly sophisticated of technique, require more and more higher to clock signal control circuit, back-end physical design has to depend on EDA(electric design automation) instrument auxiliary.Clock signal controls the work of all lock units in circuit, and along with hypervelocity, low-power consumption, high performance integrated circuit development, the scale of clock circuit and complexity are also increasing, and in Clock Design and clock combined process, convergent cycle is more and more longer.How to ensure the correctness of Clock System Design, improve the Performance And Reliability of clock system, shorten convergent cycle and become design bottleneck problem.
A usual timing topology comprises clock defining point, combinatorial logic unit (such as gate controlled clock unit), lock unit (such as flip-flop element) etc.Carry out data-signal transmission by combinatorial logic unit between lock unit, carry out latches data when effective jumping edge or the significant level of clock signal.
When clock system is more and more huger, clock-driven lock unit gets more and more, and mutual sequential relationship and clock relation of interdependence also become increasingly complex, and the factor affecting clock control system quality also gets more and more.The difficulty that deviser analyzed and found out design defect in Clock Design process is also increasing.How simply read clock structure and mutual relationship, how to give prominence to the design feature expressing clock, how to find out fast in present clock design and affect clock control system quality critical problem place, shorten the comprehensive convergent cycle of Clock Design, all become the focal issue that industry is paid close attention to.Current existing instrument cannot carry out effectively clock system, the analysis of system, finds out key issue structure, and represents in-problem circuit structure by patterned way, proposes prioritization scheme.
Therefore we have proposed a kind of method here: graphical clock quality detects the method analyzed, its clear displaying present clock system architecture, there is provided one-touch express-analysis for deviser and find design defect, and sort according to problem severity, so that quick solution problem, thus improve the quality of clock tree synthesis, ensure that the timing closure of chip.
Summary of the invention
The present invention proposes a kind of method of one-touch automatic detection clock system quality, the key structure that may affect clock control quality existed in this method automatic analysis present clock system, use a window, a button can detect analysis fast, finds out key issue place; And these problems are represented by patterned way, the comprehensive graphic structure from local to entirety is provided.
Basic thought: for a complicated clock system, in Clock Design and clock combined process, various problem can be there is.Existing eda tool provides a variety of analysis reports of clock system, but is difficult in deviser from numerous analysis report, learn the key issue that present clock system exists.Therefore we propose a kind of method of one-touch automatic detection analysis timing topology, provide clear user interface simple to operate, can detect fast and show its subject matter existed in a window.
Fig. 1 is the entrance automatically detected, and only needs a button just can find out the various problems existed in Clock Design.Simple and clear interface, solves the difficulty that deviser does not know from what analyzing to search problem.Concrete analysis result such as Fig. 2 shows, and sorts according to the significance level affected clock quality, makes more easily to find bottleneck key issue.In each type error entry, concrete problem can be checked in detail, analyze its concrete structure, which kind of impact be caused on timing topology and proposes prioritization scheme.Fig. 3 shows the analysis result of a certain class problem, and wherein left side tree lists all this kind of problems existed in current design; The right the first half figure shows the clock syndeton chosen; The latter half respectively on the definition of current structure, on the impact of whole timing topology and how to revise and optimize this structure and give brief description and guidance (as shown in Fig. 5).
In order to better understand analysis result, we provide feature structure schematic diagram in order to explain current structure, as shown in Fig. 4.In order to allow deviser definitely this problem at the particular location of whole clock system, be supported in the structure that in clock figure module, highlighted display is current.Carry out Search and Orientation for the ease of the clock system structure in complexity, the highlighted Presentation Function of check result of keyword query and validity, reliability also supported by window.As shown in Figure 7, which classification mistake all right configuration detection option of user, such as, detect, detect which clock etc.
Except graphical analysis result, this testing result can also be preserved with word report form, as shown in Figure 6.This also greatly facilitates the communication between Front-end Design slip-stick artist and rear end design engineer.
 
Accompanying drawing explanation
Fig. 1 automatic checkout system main window
Fig. 2 testing result sorts according to quality influence significance level
Fig. 3 detailed results analysis window
A kind of wrong structure of Fig. 4 graphic definition
Fig. 5 is to the brief description of wrong structure
Fig. 6 testing result word is reported
Fig. 7 configuration detection option window
concrete implementation step:
Illustrate that graphical clock quality detects the method analyzed in conjunction with a concrete example, operating process step is as follows:
1) circuit unit library file is prepared, the circuit meshwork list file of record annexation, the file of definition clock and delay constraint;
2) eda tool is opened, display clock detection system main window as shown in Figure 1, wherein comprised three main merit functional areas: Diagnosis/ Schematic/ detects button automatically;
3) click detection button automatically to start to detect present clock system, result is such as shown in Fig. 2;
4) the result button of concrete a certain class mistake or warning is clicked, the interpretation of result window that display Fig. 3 is detailed;
5) at interpretation of result window, the figure Shape definition that Demo button shows such problem of Fig. 4 is clicked;
6) at the right lower quadrant of interpretation of result window, respectively on the definition of current problem, brief description has been made on clock system impact and corresponding prioritization scheme, as shown in Figure 5;
This testing result is preserved with word report form, as shown in Figure 6.

Claims (4)

1. graphical clock quality detects the method analyzed, and the principal character relating to EDA design tool is:
(1) institute in clock system is automatically detected by a button wrong;
(2) sort according to erroneous effects significance level in a window, analyze all problems existed in present clock design;
(3) in detailed results analysis window, the similar mistake in finding present clock to design, and with the feature structure of patterned way display mistake;
(4) in detailed results analysis window, graphic definition illustrates wrong structure, sets forth the impact of this structure on clock system, and provides optimal solution;
(5) report of corresponding word is produced to analysis result.
2. there is the combination of feature (1), (2), (3).
3. there is the combination of feature (3), (4).
4. there is the combination of feature (1), (3), (4), (5).
CN201310689859.3A 2013-12-17 2013-12-17 A kind of method of graphical clock quality detection and analysis Active CN104715095B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201310689859.3A CN104715095B (en) 2013-12-17 2013-12-17 A kind of method of graphical clock quality detection and analysis

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201310689859.3A CN104715095B (en) 2013-12-17 2013-12-17 A kind of method of graphical clock quality detection and analysis

Publications (2)

Publication Number Publication Date
CN104715095A true CN104715095A (en) 2015-06-17
CN104715095B CN104715095B (en) 2018-05-11

Family

ID=53414421

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310689859.3A Active CN104715095B (en) 2013-12-17 2013-12-17 A kind of method of graphical clock quality detection and analysis

Country Status (1)

Country Link
CN (1) CN104715095B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106650128A (en) * 2016-12-28 2017-05-10 北京华大九天软件有限公司 Method for graphically displaying clock structure and timing sequence correlation
CN106650111A (en) * 2016-12-26 2017-05-10 北京华大九天软件有限公司 Clock comprehensive result evaluation method based on time sequencing dependency relation
CN111881646A (en) * 2020-07-03 2020-11-03 广芯微电子(广州)股份有限公司 Clock tree quality detection method and device based on structure and time sequence
CN114444986A (en) * 2022-04-11 2022-05-06 成都数之联科技股份有限公司 Product analysis method, system, device and medium

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080086708A1 (en) * 2006-10-05 2008-04-10 Dan Rittman System and method for automatic elimination of electromigration and self heat violations of a mask layout block, maintaining the process design rules correctness
US20110078645A1 (en) * 2008-06-06 2011-03-31 Shogo Nakaya Circuit design system and circuit design method
CN103425804A (en) * 2012-05-15 2013-12-04 北京华大九天软件有限公司 Method for graphically displaying structure of clock system

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080086708A1 (en) * 2006-10-05 2008-04-10 Dan Rittman System and method for automatic elimination of electromigration and self heat violations of a mask layout block, maintaining the process design rules correctness
US20110078645A1 (en) * 2008-06-06 2011-03-31 Shogo Nakaya Circuit design system and circuit design method
CN103425804A (en) * 2012-05-15 2013-12-04 北京华大九天软件有限公司 Method for graphically displaying structure of clock system

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
包本刚等: "基于EDA技术的多功能数字时钟的ASIC设计", 《微计算机信息》 *
钱会: "基于PCB文件的图形化审查和信号完整性分析研究", 《中国优秀硕士学位论文全文数据库 信息科技辑》 *

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106650111A (en) * 2016-12-26 2017-05-10 北京华大九天软件有限公司 Clock comprehensive result evaluation method based on time sequencing dependency relation
CN106650128A (en) * 2016-12-28 2017-05-10 北京华大九天软件有限公司 Method for graphically displaying clock structure and timing sequence correlation
CN106650128B (en) * 2016-12-28 2019-11-19 北京华大九天软件有限公司 A kind of method of graphic software platform timing topology and timing dependence
CN111881646A (en) * 2020-07-03 2020-11-03 广芯微电子(广州)股份有限公司 Clock tree quality detection method and device based on structure and time sequence
CN111881646B (en) * 2020-07-03 2021-05-18 广芯微电子(广州)股份有限公司 Clock tree quality detection method and device based on structure and time sequence
CN114444986A (en) * 2022-04-11 2022-05-06 成都数之联科技股份有限公司 Product analysis method, system, device and medium
CN114444986B (en) * 2022-04-11 2022-06-03 成都数之联科技股份有限公司 Product analysis method, system, device and medium

Also Published As

Publication number Publication date
CN104715095B (en) 2018-05-11

Similar Documents

Publication Publication Date Title
CN109739766B (en) System and method for rapidly building FPGA digital simulation model
CN100573537C (en) A kind of SOC chip system grade verification system and method
Zolotov et al. Statistical path selection for at-speed test
US6163763A (en) Method and apparatus for recording and viewing error data generated from a computer simulation of an integrated circuit
US7437694B1 (en) System and method for determining and identifying signals that are relevantly determined by a selected signal in a circuit design
US6263301B1 (en) Method and apparatus for storing and viewing data generated from a computer simulation of an integrated circuit
CN105445569A (en) On-chip nanosecond power supply noise transient waveform measurement system applicable to high-speed integrated circuit and measurement method thereof
US20060048083A1 (en) Chip development system enabled for the handling of multi-level circuit design data
US8788993B2 (en) Computer system for generating an integrated and unified view of IP-cores for hierarchical analysis of a system on chip (SoC) design
US8838559B1 (en) Data mining through property checks based upon string pattern determinations
CN111859827A (en) Chip IP integration method and device, electronic equipment and storage medium
CN104715095A (en) Graphical clock quality detecting and analyzing method
US20080008021A1 (en) Method for recognizing and verifying fifo structures in integrated circuit designs
US20160283628A1 (en) Data propagation analysis for debugging a circuit design
US20070079266A1 (en) Method and computer program for analysis of an integrated circuit design to identify and resolve a problematic structure characterized by multiple rule violations using a design closure knowledge base and a physical design database
WO2022041956A1 (en) Processing method for wafer detection data and computer-readable storage medium
CN103425804B (en) A kind of method of graphic software platform clock system structure
US9218447B2 (en) Automatic test pattern generation (ATPG) considering crosstalk effects
Liu et al. Automatic generation of system level assertions from transaction level models
CN115293084A (en) Gate-level netlist clock domain crossing automatic analysis method and system
US8069026B2 (en) Clock gating analyzing apparatus, clock gating analyzing method, and computer product
CN1815480B (en) System and method for generating assertions using waveforms
CN105302547A (en) Fault injection method for Verilog HDL design
US20130047134A1 (en) Viewing and debugging hdl designs having systemverilog interface constructs
CN102156789A (en) System and method for automatically generating constraint file of field programmable gate array (FPGA)

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CP01 Change in the name or title of a patent holder
CP01 Change in the name or title of a patent holder

Address after: 100102 Second Floor, Block A, No. 2, Lize Middle Road, Chaoyang District, Beijing

Patentee after: Beijing Huada Jiutian Technology Co.,Ltd.

Address before: 100102 Second Floor, Block A, No. 2, Lize Middle Road, Chaoyang District, Beijing

Patentee before: HUADA EMPYREAN SOFTWARE Co.,Ltd.