CN103681400A - 用于通过检验技术判断半导体重叠工艺窗口的方法及*** - Google Patents

用于通过检验技术判断半导体重叠工艺窗口的方法及*** Download PDF

Info

Publication number
CN103681400A
CN103681400A CN201310403818.3A CN201310403818A CN103681400A CN 103681400 A CN103681400 A CN 103681400A CN 201310403818 A CN201310403818 A CN 201310403818A CN 103681400 A CN103681400 A CN 103681400A
Authority
CN
China
Prior art keywords
pattern
test section
layer
material layer
layout
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310403818.3A
Other languages
English (en)
Other versions
CN103681400B (zh
Inventor
L·巴赫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of CN103681400A publication Critical patent/CN103681400A/zh
Application granted granted Critical
Publication of CN103681400B publication Critical patent/CN103681400B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

本发明揭露一种用于通过检验技术判断半导体重叠工艺窗口的方法及***,其中,精密半导体装置中重叠区的形成是一项无法基于习知测量及设计策略有效率地予以评估的关键态样。为此,本揭露提供测量技术及***,其中上覆装置图案转换成相同材料层,藉以形成通过建立良好的缺陷检验技术而可接取的组合图案。一旦几何性地调制这些组合图案中的一些组合图案,即可达成重叠工艺窗口的***性评估。

Description

用于通过检验技术判断半导体重叠工艺窗口的方法及***
技术领域
本揭露涉及制造如集成电路的微结构的领域,并且更涉及图案化处理期间用于判断对齐(alignment)精确度以及图案放置精密度的技术。
背景技术
如集成电路的微结构的制造需要尺寸受到精确控制的细微区而予以形成于如硅衬底、SOI(上覆硅绝缘体)衬底、或其它合适载体材料等合适衬底的材料层中。这些尺寸受到精确控制的细微区使用微影(lithography)、蚀刻、布植、沉积、氧化处理及诸如此类通过图案化材料层予以产生,其中,通常至少在图案化处理的特定阶段,可于待处理的材料层上形成掩模层(mask layer)以界定这些细微区。一般而言,掩模层可由通过通常是光微影(photolithography)处理的微影处理予以图案化的光阻层组成或形成。在光微影处理期间,阻剂(resist)可予以旋转涂布在衬底表面上并且接着透过如分划板(reticle)的相应的微影掩模选择性地予以曝露于照射(radiation),藉以使分划板图案成像(image)于阻剂层以形成潜像(latent image)于其中。光阻显影后,取决于阻剂种类,也就是,正阻剂或负阻剂,移除曝露部分或非曝露部分用以在光阻层中形成所需的图案。基于此阻剂图案,可通过如蚀刻、布植、退火处理、以及诸如此类的进一步制造程序形成真实的装置图案。鉴于效能增强,由于对缩减精密集成微结构装置中的图案尺寸有固定需求,用于图案化装置特征的处理工具及工艺配方(recipe)对于分辨率及上覆(overlay)精确度必须符合非常严苛的要求。在这方面,分辨率视为测度(measure),用于指定在预界定制造变异的条件下印制最小尺寸影像的一致性能力。改良分辨率的一项重要因素是微影处理,其中,内含于光罩(photo mask)或分划板中的图案经由光成像***予以光转移至衬底。因此,已对稳定改良微影***的光特性作出巨大努力,如数值孔径、焦深、以及所用光源的波长。
微影成像的品质对于产生非常小特征尺寸极为重要。可在衬底表面上定位影像的精确度是至少相当重要。一般而言,如集成电路的微结构通过循序图案化材料层予以制造,其中,连续材料层上的特征互有空间关系。每一个在后续材料层中形成的图案都必须在指定的配准公差(registration tolerance)内对齐于先前图案化材料层中形成的相应图案。这些配准公差由例如衬底上因阻剂厚度、烘焙温度、曝照剂量(exposure dose)以及时间与显影条件等参数的非均匀性导致的光阻影像变异所造成的。此外,蚀刻处理的非均匀性也可导致蚀刻特征的变异。另外,在光罩影像光微影性地转移到衬底上时,将目前材料层的图案影像上覆至先前所形成材料层的蚀刻过或者界定过的图案存在有不确定性。许多因素促使成像***上覆两层的能力有瑕疵(imperfect),如一组掩模内的瑕疵、不同曝照次数的差异、对齐工具的有限配准能力(registration capability)以及,作为对齐误差的主要作用(major contribution),如透镜畸变(lens distortion)等曝照工具本身的瑕疵、与如衬底固持件(substrate holder)等对齐硬件造成的畸变、以及诸如此类。当不同的曝照工具用于界定后续装置层时,曝照工具及相关组件内的固有误差可在不同工具间变化,使情况变得更糟。
虽然,相同的曝照工具可能用于成像关键的装置层,实际上,此等限制在对于相同装置层通常包含多个微影工具的复杂制造环境中可不使整体处理流程有效率。所以,用于判断可予以最终取得的最小特征尺寸的主导准则(dominant criteria)为用于在单独衬底层中产生特征的分辨率以及上述因素所促成的总上覆误差。
因此,有必要在特定材料内持续监测分辨率,也就是,可靠并且再生地产生最小特征尺寸的能力,也称为临界尺寸(criticaldimension,CD),以及持续判断已连续形成并且必须互相对齐的材料层图案的上覆精确度。例如,在形成用于集成电路的接线结构时,由于显着失准可在确实未连接线间造成短路,因此连接两堆栈金属区的各别金属线及导孔可必须以严苛的工艺余裕(process margin)予以互相对齐以便导致界定良好的重叠,藉以可能产生重大装置缺陷,而意欲的(intended)重叠区的缩减则可造成因接触与串联电阻导致的效能损失。类似准则对需要界定良好的重叠区以确保适当装置功能的其它装置层也适用。
基于这些理由,正在对侦测关键重叠区进行重大努力,也就是,一旦确实将各别装置层的布局实现到半导体装置材料内即产生偏离原始设计的相应偏移时可造成严重装置故障或效能衰减的重叠区。例如,设计许多空间相关性装置层的布局以致确保完美重叠,其可含括布局层级(layout level)上各种测试策略的应用。然而,在微影处理及后续蚀刻处理期间,例如通过薄化小结构及诸如此类,可造成初始布局图案显着变更。一般而言,布局层的特定图案转移期间可出现多个扰动(fluctuation),布局层予以初始转移至分划板或微影掩模并且接着依次用于将图案重复成像至半导体衬底上的材料层。如上述,在半导体装置的材料层中确实形成期望图案时所含括的各个程序步骤可或多或少显着带来工艺瑕疵,例如,微影掩模对半导体衬底的非完美对齐,如机械应力所致衬底的透镜像差(lens aberration)与畸变及诸如此类的成像处理所造成的工艺允差(process tolerance),还有,蚀刻处理期间的各种允差(tolerance)可导致所形成装置图案的不精确性,其依次可从而导致上覆精确度降低。由于两后续装置层各别装置特征的重叠可强烈取决于最终达成的上覆精确度,尤其是在非常小的三维结构中,正在对识别关键重叠区以及增加整体上覆精确度作出重大努力。例如,在布局层级上,可施加复杂的光学邻近校正技术(opticalproximity correction technique)以便修改至少一些装置特征的基本几何布局用以适应(accommodate)尤其在成像处理期间可出现的特定工艺变异。虽然可通过使用例如光学邻近校正技术提升工艺稳健的程度,然而,因含括至少两道后续图案转移处理而仍难以识别最关键重叠区,以至于用于产生上覆误差的许多可能来源可相当高,以便通过OPC策略用相应模型预期任何此等工艺变异。另一方面,由于可能无法通过如扫描式电子显微镜等建立良好的检验技术接取(accessible)下伏层(underlying layer),因此直接观测关键重叠区非常困难。
为此,已开发替代策略用以识别关键重叠区。例如,可基于经特定设计的上覆目标测量微影工具的磁场内特定位置处的侧向平移(lateral translation)。可通过使用仿真(simulation)基于测量数据计算跨越整个磁场的上覆效能。然而,此程序的结果强烈取决于底层模型及仿真算法的精确度。在其它策略中,例如通过形成接触链(contact chain)并且使用具有特定「失准(misalignment)」程度的接触件,基于电测量程序测量上覆效能。然而,此方法受限于影像场(image field)或芯片区内部的特殊结构及位置。在其它情况下,良率测量数据(yield measurement data)可用于识别关键上覆区,然而,其中,除非可基于剖面电子显微镜测量判断相应失准,否则难以判断关键上覆区对所产生生产良率的直接影响,然而,剖面电子显微镜测量因样本的剖面制备而非常昂贵。另外,此策略导致非常长的响应时间,以至于关键重叠区的识别仍因大量处理不当的衬底而导致显着的良率损失。此外,如上所述,任何用以识别基本半导体设计中关键重叠结构的算法皆在衬底层级仍可需要确认并且可未妥善适应各种工艺瑕疵。
鉴于上述情况,本揭露涉及用于在半导体装置中形成重叠装置特征时识别关键区,同时避免或至少降低一或多个上述所识别问题的效应。
发明内容
一般而言,本揭露提供技术及***,其中,对于上覆精确度从而对于重叠故障可视为关键的半导体装置区,可通过使用可轻易取得的合适的侦测方法,例如通过使用缺陷侦测或检验技术,予以更有效率地识别,藉以确保相较于习知策略,整体耗费(effort)降低而响应时间快速。为此,半导体装置的两后续布局层可转移至相同的材料层以便取得组合图案,接着可基于合适的侦测技术检查(examine)组合图案而无需昂贵的剖面分析处理。本文所揭露的一种描述性方法包含将半导体装置的第一布局层的第一图案转移至衬底的第一测试区与第二测试区上方形成的材料层。本方法进一步包含将半导体装置的第二布局层的第二图案转移至第一测试区上方形成的材料层,其中,第一与第二布局层互呈空间相关以界定重叠区。此外,本方法包含将第二图案的几何调制版本(geometric modulation version)转移至第二测试区上方形成的材料层。另外,本方法包含判断第一测试区与第二测试区间材料层的结构差异以评定(assess)对于重叠区的工艺余裕。
本文所述的另一描述性方法包含在衬底的第一测试区中所形成的材料层中由半导体装置的第一布局层与第二布局层形成第一组合图案,其中,第一与第二布局图案界定重叠区。本方法进一步包含在衬底的第二测试区中所形成的材料层中由第一布局层与第二布局层形成第二组合图案,其中,第二组合图案相对于第一组合图案包括几何调制(geometric modulation)。本方法另外包含在第一与第二测试区中至少对重叠区实施检验程序(inspection process)。
本文所揭露的一种描述性重叠侦测***包含经配置用以从包含第一组合图案的第一测试区取得第一检验数据并且从包含第二组合图案的第二测试区取得第二检验数据的检验工具。第一与第二组合图案各自从半导体装置的第一布局层与第二布局层予以形成,其中,第二组合图案是第一组合图案的调制版本。重叠侦测***进一步包含控制器,控制器经操作性地连接以接收第一与第二检验数据并且经配置通过比较第一与第二检验数据以及由其判断所述第一与第二组合图案间的结构差异以识别第一与第二图案中的关键重叠区。
附图说明
本揭露的进一步具体实施例界定在附加的权利要求书中,并且引用附图研读以下的详细说明将变得更明显,其中:
图1a根据描述性具体实施例概述半导体装置的测试区的俯视图,其中,组合图案由两后续布局层予以形成;
图1b根据描述性具体实施例概述第二测试区的俯视图,其中,组合图案经形成而相对于第一组合图案予以空间性或几何性调制以便识别关键重叠区;
图2a至图2d根据描述性具体实施例概述半导体装置的剖面图,其包含用于在材料层中形成组合图案化的两测试区以在各个制造阶段期间识别关键重叠区;
图2e至图2h根据进一步描述性具体实施例概述半导体装置200的剖面图,其中,可根据进一步描述性具体实施例使用不同类型的蚀刻配方及/或材料层的不同材料组成;
图2i根据描述性具体实施例概述测试衬底的俯视图,其包括具有不同几何调制的多个测试区以便识别关键重叠区统计相关性;以及
图3根据又进一步描述性具体实施例用于侦测关键重叠区的***。
【符号说明】
100                半导体装置
110                测试区
110a               测试区
110b               测试区
120                第一布局层
120a、…、120d     基本布局特征
121a、…、121d     额外布局特征
122a、…、122d     第一图案特征、装置特征
130                布局层
130a、…、130d     特征
140a、…、140d     图案特征
200                半导体装置
201                衬底
202                材料层
202a               第一子层
202b               第二子层
203                掩模层
204                第二掩模层
210a               第一测试区
210b               第二测试区
210a、…、210f     测试区
225                第一图案
226                组合图案
227                组合图案
227b               结构差异
228a               重叠区
235                第二图案
235m               几何调制图案
301                衬底
310a、310b         测试区
350                ***
351                衬底固持件
352                侦测器
353a、353b         检验数据
354                比较器
355                控制器
356                数据
357                检验工具。
具体实施方式
尽管引用如以下详细说明中以及图式中所述的具体实施例说明本揭露,仍应理解以下详细说明以及图式非意欲用来限制本揭露于所述的特定描述性具体实施例,反而所述的描述性具体实施例仅列举本揭露的各种态样,本揭露的范畴通过附加的权利要求书予以界定。
本揭露一般涉及处理策略及相应***,其中,如扫描式电子显微法及诸如此类高效率及建立良好的检验技术可用于识别关键重叠区。为此,可将对应于第一布局层的布局图案的装置图案转移至合适的材料层,接着是基于布局层的第二布局图案转移第二装置图案,以便形成可通过相应检验技术接取的组合装置图案。第一与第二布局层呈空间性相关,以致视需要对考虑的集成电路的合适功能界定各自的重叠区。例如,第一布局层可界定如栅极电极结构、漏极与源极区及诸如此类的导线(conductive line),其必须与各别接触组件接触,其从而代表必须与底层图案精确对齐的第二布局层的装置特征。在其它情况中,第一金属化层必须基于相应的导孔连接至后续金属化层,从而导孔与下伏及/或上覆金属化层的金属线需要精确重叠。
因此,将互呈空间性相关的不同装置图案转移至可包含二或更多子层的相同材料层后,组合图案包括所形成上覆精确度的信息以及从而上覆区的效能。为了判断合适的工艺窗口,也就是评定相应基本装置布局与设计结合所有所含括成像处理的稳健性,可在两组合图案间作比较,其中之一可基于有意引进的空间或几何调制予以形成,用以能够判断布局与处理策略相对于所有工艺变异的敏感度。
例如,在一描述性具体实施例中,可基于给定的处理策略通过转移第一与第二图案以形成组合图案,而在另一测试区中,第一与第二图案可通过指定量彼此有意地置换。依此方式,基于检验技术可轻易地判断两组合图案的结构差异,藉以可靠评定工艺稳健性。
在其它描述性具体实施例中,一旦形成第二组合图案即可修改第一及/或第二图案中至少一些装置特征的尺寸,从而也取得两组合图案间的结构差异,其可轻易地予以判断并且用于评定重叠区。可使用微影掩模及诸如此类中的专属修改图案,通过实现不同临界尺寸,例如通过适当地配合成像处理及诸如此类,完成对至少一些图案特征尺寸的修改,而在其它情况中,可变更图案从微影掩模成像至光阻的放大率(magnification)以取得相应装置特征的侧向尺寸(lateraldimension)的全局变化。
在其它描述性具体实施例中,可施加至少一些图案特征的侧向平移及尺寸变更的组合以取得两组合图案间的结构差异。
不同布局层的图案的转移可基于微影结合一或多道蚀刻处理予以完成,其中,视需要,可施加相同或不同的蚀刻配方以涵盖可在实际制造程序期间出现的工艺变异的期望范围。在其它描述性具体实施例中,仅基于微影程序,也就是基于含括曝照处理结合显影处理而实际上无需异向性蚀刻处理的处理程序,即可完成图案转移。例如,可施加双重曝照技术,其中,可在相应阻剂层***中形成潜像,相应阻剂层***因此在显影后由组合图案构成,此组合图案可与双重曝照处理所得的组合图案作比较,其中,可实现特定的几何调制,如上所述。
在进一步描述性具体实施例中,可将组合图案转移至实质均质(homogenous)材料层,例如一般的硬式掩模材料,或其它适用的材料,而在其它情况中,可用二或更多子层的形式提供材料层以界定不同工艺条件用于转移不同的装置图案。
现在将引用附图更详细地说明进一步描述性具体实施例。
图1a概述半导体装置100的俯视图,其可代表测试衬底及诸如此类,然而,其可能够含括于形成各种装置层中装置图案的各个处理步骤期间也用在实际半导体装置中的处理策略的应用。在图1a中,俯视图中描述测试区110a,其中,多个第一图案特征122a、…、122d形成于材料层中,为了简便,图1a中未显示材料层。图案特征122a、…、122d可表示也可在形成实际半导体装置时予以使用的半导装置100的特定结构。例如,特征122a、…、122d可表示如栅极电极结构、金属线及诸如此类的必须予以接触的导电半导体线。因此,图案122a、…、122d代表第一布局层120的特征,其依次说明这些特征的二维几何配置。为了简便,在图1a中,特征122a、…、122d的各别布局也以参考组件符号120a、…、120d予以描述及指示。此外,可用可通过例如OPC技术予以取得的额外布局特征121a、…、121d补充基本布局特征120a、…、120d,以便至少某种程度补偿可在将布局特征120a、…、120d实际成像至装置特征122a、…、122d期间所引进的瑕疵。
此外,特征130a、…、130d的第二图案如特征122a、…、122d予以形成在相同材料层中,其中,特征130a、…、130d可代表实际半导体装置中需与特征122a、…、122d精确重叠的区域。例如,特征130a、…、130d可代表可必须紧接于布局层120上方或下方予以形成的布局层130的接触组件、导孔及诸如此类。
在图1a中所示的实施例中,用于形成特征122a、…、122d及130a、…、130d的处理程序可导致这些特征的期望重叠,然而,无需实际指示这些重叠区的那一区可非常敏感地呼应任何例如因工具漂移(tool drift)、工艺配方更动及诸如此类所造成可在量产过程期间实际出现的工艺扰动。
图1b概要描述装置100的俯视图,其中,第二测试区110b予以描述,其中,基本上,可在材料层中提供相同的图案特征122a、…、122d,而另一方面,可依几何调制方式形成特征130a、…、130d,藉以形成图案特征140a、…、140d。在所示实施例中,可通过施加指定的侧向平移,例如依正y方向平移例如20纳米,取得几何或空间调制,而整体尺寸,也就是特征130a、…、130d的临界尺寸也已增加大约20纳米以取得特征140a、…、140d。因此,通过比较测试区110a的组合图案,也就是,特征122a、…、122d结合特征130a、…、130d,与形成于测试区110b中的组合图案,也就是,特征122a、…、122d及140a、…、140d的组合,可识别关键重叠区。例如,由图1a及图1b得以明白,相应于特征130b的图案特征140b维持完全「重叠」,也就是,特征140c完全形成于特征122b内,藉以指出此重叠区的高度工艺稳健性。另一方面,特征140a、140c和140d不再完全重叠,也就是位于相应特征122a、122c和122d内,藉以指出相关于工艺变异降低的稳健性。尤其是,特征140c、140d因接触电阻增加以及尤其因对于各自邻接线结构可能的短路而导致严重的装置故障。因此,基于测试区110a、110b间的比较,可判断合适的工艺窗口及/或可制作相应的重新设计以取得优良的工艺稳健性。测试区110a、110b的比较可通过使用检验工具或任何其它测量技术的合适***予以轻易地完成,其中,介于测试区110a、110b间的结构差异可轻易地予以判断并且相关于相应布局及/或考虑中的处理程序的重叠效能。后面将引用图2a及图3说明相应的制造技术及测量策略。
图2a概要描述半导体装置200的剖面图,例如以测试装置及诸如此类的形式予以提供,其中,可提供第一测试区210a及至少一个第二测试区210b以形成各自的结合图案于其中,其可通过建立良好的检验技术予以接取。如图所示,装置200可包含如半导体衬底及诸如此类的衬底201。此外,材料层202可在衬底201上方形成并且从而在测试区210a、210b中或上方延伸。材料层202可适用于基于两道图案转移处理在其中形成组合图案,其中,可在测试区之一施加几何调制以对于这些测试区中形成的组合图案产生对称差异。为了在材料层202中形成组合图案,可使用任何合适的配置及材料组成。例如,实质均质材料组成(图未示)可例如基于如二氧化硅、硅氮化物、硅氢氧化物及诸如此类的建立良好的硬式掩模材料予以用在层件202中,而在其它情况中,可使用如聚合物材料及诸如此类的有机材料。在又其它清况中,材料层202可代表一或多层照射敏感性材料(radiation-sensitive material),藉以基于例如用在双重曝照微影技术的二或更多道后续曝照处理以及一或多道显影处理使层件202图案化。在图2a中所示的具体实施例中,层件202可由可具有实质相同或不同的材料组成的第一子层202a及第二子层202b所构成。例如,可依介电质ARC(抗反射性涂布)材料的形式提供层件202a、202b之一或两者,如同形成半导体装置的技术中所良好建立一般。在其它情况中,ARC材料的单一层可用于层件202。
在图标的制造阶段中,可在层件202上方提供如阻剂材料等掩模层203已形成于其中的第一图案225以便能够后续将图案225转移至层件202的至少一部分。应了解的是,图案225可相当于装置200的第一布局层的相应布局图案,举例如以上引用装置100所述。然而,应了解的是,图案225对于相应的初始布局图案或者也与微影掩模上所形成相应图案作比较因可在用于将微影掩模的图案转移至如层件203等照射敏感性材料的成像处理时含括的任何瑕疵而可具有特定差异,亦如先前所述。类似地,例如可由衬底201内区域210a、210b的不同位置所造成的些微变异除外,层件203可在第二测试区210b中层件202上形成并且可具有实质相同的图案225形成于其中。例如,可基于相应微影工具的不同像场(image field)以形成测试区210a、210b,藉以可能地在测试区210a的图案225与测试区210b的图案225间引进特定差异。
如图2a中所述的装置200可基于其中层件202可例如通过CVD(化学气相沉积)技术、旋涂式技术及诸如此类予以施加的任何建立良好的工艺技术予以形成。另外,可实施任何额外处理以取得期望的材料特性。应了解的是,可在任何合适的衬底上方形成层件202而在材料层202下方不需要任何特定处理装置层。在其它情况中,若认为合适,可在层件202下形成再处理层(further processed layer)。之后,可施加掩模层203并且可使用微影处理以在层件203中形成图案225,这在区域210a、210b提供于微影工具的不同像场中时可包括不同的曝照步骤。在其它情况中,可在相同微影处理期间形成区域210a、210b。应了解的是,微影处理在曝照步骤前与后也可包括层件203的任何预处理及后处理,并且也可包括显影处理以便从先前层件203中产生的潜像形成图案225。
之后,合适的蚀刻配方可用于将图案225转移至材料层202,例如至上层202a,这可通过使用建立良好的异向性蚀刻配方并且使用层件203作为蚀刻掩模予以完成。
图2b概要描述再进一步制造阶段中的装置200,其中,掩模层203予以移除并且图案225转移至层件202a。然而,应了解层件202a中的图案225因所施加的蚀刻处理而可某种程度不同于掩模层203(请参阅图2a)中形成的层件225。例如,各别开口的剖面轮廓及/或临界尺寸在图2a及图2b的图案225中可不相同。
图2c概要描述再进一步制造阶段中的装置200,其中,第二掩模层204可予以形成于层件202上方并且可具有第二图案235合并于其中,其相当于装置200的第二布局层,亦如以上引用图1a及图1b所述。也就是,图案225和235互有空间相关性,以致得以界定一或多个重叠区。例如,重叠区228a示于图2c中。关于形成掩模层204并且取得图案235于其中,可如前述施加相同的准则。也就是,可施加建立良好的微影程序以形成图案化掩模层204。应了解的是,若认为有必要,可通过使用任何合适的平整化技术,如使用光平整化材料及诸如此类,平整化先前形成的表面形貌(surface topography)。在第二测试区210b中,层件204设有要予以理解为图案235空间性或几何性调制版本的图案235m。也就是,图案235、235m具有高度相似性,其中,除了可能的统计扰动,还引进***偏移以便在介于测试区210a与210b间的层件202中产生所形成组合图案的***差异。例如,如上所述,图案235m的至少一些图案特征的尺寸及/或位置可相对于图案235予以修改。在依+y方向的侧向平移所示的实施例中,如上述除了任何些微工艺扰动外,可形成图案235m,而可保存任何临界尺寸。之后,可施加合适的蚀刻处理,其可基于如层件202中形成图案225所使用的相同工艺配方予以实施,或其中不同的工艺配方可予以使用,端视整体处理策略而定。
图2d概述再进一步制造阶段中的装置200,其组合图案226形成于层件202中,其为测试区210a中图案225与235的组合。类似地,在测试区210b中取得组合图案227,其为图案225与235m的组合。由于图案235m相对于图案235的***几何调制,结构差异例如以额外装置特征或「缺陷(defect)」227b的形式予以取得,其可通过建立良好的缺陷检验技术予以轻易侦测。此外,可施加临界尺寸和上覆精确度的测量及/或一般而言,例如基于扫描式电子显微镜及诸如此类的工艺窗口定性技术(qualification technique)可用于侦测结构差异227b(structural difference)。基于侦测到的结构差异227b,可评定关于所含括基本布局的重叠效能并且进行处理策略。例如,结构差异227b的尺寸可予以判断并且可当作准则以供评定基本装置布局及/或相应处理程序的正确性。
应了解的是,不同的材料及/或工艺配方以及策略可用在比较组合图案用以提供所形成评定优良涵盖率(coverage)。此外,通过使用不同的处理策略及/或测试区配置,可「仿真」相应的各种工艺扰动而能有可靠度工艺窗口关于形成重叠区(如关于导线的接触件和导孔)的稳健定义,举例如以上引用图1a及图1b所述。
请参阅图2e至图2h,可说明用于形成组合图案226、227的处理程序,然而,其中一或多个工艺参数例如相对于增强缺陷侦测及诸如此类予以不同地选择。
图2e概述如前引用图2a所述呈类似配置的装置200。因此,层件203包含必须予以转移至层件202的图案225。然而,在此情况中,可施加增加的蚀刻时间以「仿真」相应的工艺变异,其可导致相应的图案225修改并且也可导致层件202内增加的蚀刻深度。
图2f概述再进一步制造阶段中的装置200,其中,已施加实质两倍的蚀刻时间将图案225转移至层件202。在此情况中,层件202a、202b可具有相同的材料成份,藉以图案化层件202a和202b两者,端视其初始厚度而定。
图2g概述具有层件204的装置200,层件204具有第一测试区210a中的图案235以及第二测试区210b中的几何调制图案235m合并于其中。还有,在此情况中,例如通过使用上述相同的蚀刻配方将各别图案转移至层件202。
图2h概述测试区210a中的组合图案226以及测试区210b中的组合图案227,其中,也可因***几何调制而产生结构差异227b,如上所述。然而,应了解的是由于不同的工艺参数,如不同的蚀刻时间,一般而言,结构差异227b有别于先前取得的结构差异。依此方式,可通过比较相应的「缺陷」227b定量评定处理策略中差异的各种影响。
应了解的是,除了工艺配方或处理时间的修改或二择一地,可使用其它差异以取得有统计意义的结果以及涵盖范围广的工艺扰动。例如,可例如通过提供多个不同的测试区提供具有不同类型调制的图案235m,其可基于相同或不同的处理策略予以处理。
图2i概述装置200的俯视图,其中,可在衬底201上形成多个测试区210a、…、210f以取得涵盖范围广的各种扰动用于形成重叠区并且用以对每个不同类型测试区达到统计关联性。例如,可紧密靠近具有几何调制图案形成于其中的各别测试区提供多个测试区210a,如上述。例如,基本上可相当于上述测试区210a的测试区210a因而可依x方向及y方向覆盖衬底201以致有效率地评估跨衬底变异。类似地,可提供其中可依+x方向基于侧向平移形成组合图案的多个测试区210b,其中,可使用合适的平移幅度,例如可使用25纳米。类似地,可依+x方向基于侧向平移形成测试区210c,其中,可使用相同或不同的幅度。例如,可使用50纳米。类似地,测试区210e、210f可设有例如使用+50纳米及-25纳米依y方向基于侧向平移形成的组合图案。另一方面,测试区210d可设有例如通过增加临界尺寸10纳米基于所含括临界尺寸调制而形成的组合图案。
因此,一旦基于任何合适的检验技术检验衬底210,即可使用介于邻近区域210a与区域210b、210c、210d、210e、210f间的结构差异以识别关键重叠区。此外,由于各类多个测试区的提供,可取得统计关联结果,而不同类型测试区进一步允许基于种种扰动评定效能。应了解以上在各别测试区中用于几何调制组合图案的测试区配置及参数仅属于描述性质并且任何其它几何调制都可予以施加,例如藉助于使用任何平移幅度及/或下伏布局图案的尺寸修改。
图3概述可用于侦测关键重叠区以及从而用于评定并且评估特定装置设计及/或处理流程的上覆效能的***350而予以制造。如图所示,***350可包含检验工具357,例如合适地经配置以判断临界尺寸、上覆误差、表面缺陷及诸如此类,其可包含其上可安置可包含具有组合图案形成于其中的至少两测试区310a、310b的衬底301的衬底固持件351,如先前引用图1及图2所述。也就是,测试区310a包含具有组合图案基于相当于两不同装置层级所形成用以界定重叠区的图案形成于其中的图案化材料层,其中,区域310a、310b中的各别组合图案通过***几何调制而彼此不同。衬底固持件351经合适地配置而能够在衬底301与侦测器352间相对侧向移动,侦测器352可接收入射至衬底301的任何类型照射响应束。例如,电子束可通过入射电子束所产生受到侦测的照射用于判断衬底301的表面特性。在其它情况中,如紫外线照射、及诸如此类的任何类型的照射皆可用于判断上覆特性及/或临界尺寸的特性。侦测器352经合适地配置以提供检验数据353a、353b,其可具有结构信息编码于其中,例如临界尺寸、特定对象尺寸及诸如此类。此外,***350可包含控制器355,其经合适地配置以接收检验数据353a、353b,其例如可呈位地图及诸如此类的形式予以提供以容许量化估计分别相当于区域310a、310b或其一部分的像素。例如,数据353a、353b各可代表微影处理所形成的像场。
此外,控制器355可包含比较器354,其中,检验数据353a可与数据353b作比较,例如藉助的是像素对像素比较以侦测结构差异,其依次可用于评估特定布局的上覆效能及/或处理流程,也如以上所述。因此,比较器354可提供各别数据356,其可指示布局的上覆效能以及施加于形成测试区310a、310b的处理程序。例如,区域310a、310b两者「缺陷」存在的比较可指示相应设计及/或处理程序对于可能工艺扰动给定范围的不正确性,这可基于相应的几何调制予以仿真,也如上所述。
结果是,本揭露提供技术及***,其中,上覆效能可例如使用缺陷检验工具基于快速及可靠测量技术予以评估。为此,可形成合适的测试衬底而不需要完全处理过的衬底并且避免任何精密制备技术,例如TEM测量用剖面样本的制备。根据本文所揭露的原理,关键位置关于上覆精确度的直接检验可对任何工艺扰动致能快速响应并且也可容许可靠及稳健的工艺窗口定义以供形成上覆区。
鉴于本说明,本揭露的进一步改进及改变对于本技术领域的技术人员将显而易知。因此,本说明仅要予以推断为描述性并且目的在于指导本技术领域的技术人员实施本揭露的一般方式。要理解的是,本文所示及所述的形式属于目前较佳的具体实施例。

Claims (20)

1.一种方法,其包含:
将半导体装置的第一布局层的第一图案转移至衬底的第一测试区与第二测试区上方所形成的材料层;
将该半导体装置的第二布局层的第二图案转移至该第一测试区上方所形成的该材料层,该第一与第二布局层彼此空间性相关以便界定重叠区;
将该第二图案的几何调制版本转移至该第二测试区上方所形成的该材料层;以及
判断介于该第一测试区与该第二测试区间该材料层中的结构差异以便评定关于该重叠区的工艺余裕。
2.根据权利要求1所述的方法,其中,将该第二图案的几何调制版本转移至该第二测试区上方所形成的该材料层包含施加该第二图案相对于该第一图案的预界定侧向平移。
3.根据权利要求1所述的方法,其中,将该第二图案的几何调制版本转移至该第二测试区上方所形成的该材料层包含通过使用具有不同临界尺寸的该第二图案的至少一些图案特征提供该几何调制版本。
4.根据权利要求1所述的方法,其中,将该第二图案的几何调制版本转移至该第二测试区上方所形成的该材料层包含修改该第二图案的至少一些图案特征的侧向尺寸并且以修改过的侧向尺寸施加预界定侧向平移于具有所述至少一些特征的该第二图案。
5.根据权利要求1所述的方法,其中,将该第一与第二图案的至少一个转移至该材料层包含实施微影处理以及至少一蚀刻处理。
6.根据权利要求5所述的方法,其中,将该第一图案转移至该材料层包含实施第一蚀刻处理以及其中,将该第二图案与该第二图案的该几何调制版本转移至该材料层包含实施第二蚀刻处理。
7.根据权利要求6所述的方法,其中,该第一与第二蚀刻处理通过使用相同的工艺配方予以实施。
8.根据权利要求1所述的方法,其中,转移该第一与第二图案与该第二图案的该调制版本包含实施一系列微影处理而未用到蚀刻处理。
9.根据权利要求1所述的方法,其中,该材料层为均质材料层。
10.根据权利要求1所述的方法,其中,该材料层包含第一子层以及第二子层。
11.根据权利要求1所述的方法,其中,判断介于该第一测试区与该第二测试区间的结构差异包含实施衬底检验程序。
12.一种方法,其包含:
在衬底的第一测试区中所形成的材料层中由半导体装置的第一布局层与第二布局层形成第一组合图案,该第一与第二布局图案界定重叠区;
在该衬底的第二测试区中所形成的该材料层中由该第一布局层与该第二布局层形成第二组合图案,该第二组合图案相对于该第一组合图案包括几何调制;以及
在该第一与第二测试区中至少对该重叠区实施检验程序。
13.根据权利要求12所述的方法,其进一步包含通过使用该检验程序的结果判断处理流程及该重叠区的布局设计的至少一个的正确性。
14.根据权利要求12所述的方法,其中,该第一与第二组合图案通过使用双重曝照微影处理程序予以形成。
15.根据权利要求12所述的方法,其中,该第一与第二组合图案的各个通过循序使用第一微影/蚀刻程序以及第二微影/蚀刻程序予以形成。
16.根据权利要求15所述的方法,其中,相同的蚀刻配方用在该第一与第二微影/蚀刻程序。
17.根据权利要求15所述的方法,其中,不同的蚀刻配方用在该第一与第二微影/蚀刻程序。
18.根据权利要求12所述的方法,其进一步包含通过使用该第一布局层与该第二布局层之一中至少一些图案特征的侧向平移及尺寸变异的至少一个产生该几何调制。
19.根据权利要求12所述的方法,其进一步包含在该衬底的第三测试区中所形成的该材料层中由该第一布局层与该第二布局层形成第三组合图案,其中,该第三组合图案相对于该第一与第二组合图案包括几何调制。
20.一种重叠侦测***,其包含:
经配置用以从包含第一组合图案的第一测试区取得第一检验数据并且用以从包含第二组合图案的第二测试区取得第二检验数据的检验工具,该第一与第二组合图案各由半导体装置的第一布局层与第二布局层所形成,该第二组合图案相对于该第一组合图案包括几何调制;以及
操作性地予以连接以接收该第一与第二检验数据以及经配置通过比较该第一与第二检验数据并且由其判断该第一与第二组合图案间结构差异的控制器。
CN201310403818.3A 2012-09-06 2013-09-06 用于通过检验技术判断半导体重叠工艺窗口的方法及*** Expired - Fee Related CN103681400B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/605,060 2012-09-06
US13/605,060 US8940555B2 (en) 2012-09-06 2012-09-06 Method and system for determining overlap process windows in semiconductors by inspection techniques

Publications (2)

Publication Number Publication Date
CN103681400A true CN103681400A (zh) 2014-03-26
CN103681400B CN103681400B (zh) 2016-06-08

Family

ID=50098623

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310403818.3A Expired - Fee Related CN103681400B (zh) 2012-09-06 2013-09-06 用于通过检验技术判断半导体重叠工艺窗口的方法及***

Country Status (6)

Country Link
US (2) US8940555B2 (zh)
KR (1) KR101565956B1 (zh)
CN (1) CN103681400B (zh)
DE (1) DE102013213785A1 (zh)
SG (1) SG2013057641A (zh)
TW (1) TWI532075B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9397012B2 (en) * 2014-06-27 2016-07-19 Globalfoundries Inc. Test pattern for feature cross-sectioning
CN107077077B (zh) 2014-09-22 2019-03-12 Asml荷兰有限公司 过程窗口识别符
US10423751B2 (en) 2017-09-29 2019-09-24 International Business Machines Corporation Semiconductor package floating metal checks
US10546096B2 (en) 2017-09-29 2020-01-28 International Business Machines Corporation Semiconductor package via stack checking
US10423752B2 (en) 2017-09-29 2019-09-24 International Business Machines Corporation Semiconductor package metal shadowing checks
US11935797B2 (en) * 2021-03-29 2024-03-19 Changxin Memory Technologies, Inc. Test method, adjustment method, test system, and storage medium for alignment error
US11809087B2 (en) * 2021-04-30 2023-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing tool and methods of operation
CN117830195A (zh) * 2022-09-29 2024-04-05 长鑫存储技术有限公司 检测方法及装置、存储介质及电子设备

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4433911A (en) * 1981-06-30 1984-02-28 Tokyo Shibaura Denki Kabushiki Kaisha Method of evaluating measure precision of patterns and photomask therefor
CN1495853A (zh) * 2002-06-28 2004-05-12 惠普开发有限公司 半导体器件的制造方法和***
CN101038670A (zh) * 2006-03-14 2007-09-19 株式会社日立高新技术 试样尺寸测定方法及试样尺寸测定装置
CN101198908A (zh) * 2005-06-16 2008-06-11 富士胶片株式会社 图像处理装置、图像描绘装置及***
CN101279710A (zh) * 2007-04-06 2008-10-08 台湾积体电路制造股份有限公司 晶圆背面对准的重叠对准精度的判断方法及其晶圆
US20090216450A1 (en) * 2008-02-27 2009-08-27 Nuflare Technology, Inc. Apparatus and method for inspecting overlapping figure, and charged particle beam writing apparatus

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100781439B1 (ko) 2006-08-31 2007-12-03 동부일렉트로닉스 주식회사 반도체 소자의 테스트 패턴 보정방법

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4433911A (en) * 1981-06-30 1984-02-28 Tokyo Shibaura Denki Kabushiki Kaisha Method of evaluating measure precision of patterns and photomask therefor
CN1495853A (zh) * 2002-06-28 2004-05-12 惠普开发有限公司 半导体器件的制造方法和***
CN101198908A (zh) * 2005-06-16 2008-06-11 富士胶片株式会社 图像处理装置、图像描绘装置及***
CN101038670A (zh) * 2006-03-14 2007-09-19 株式会社日立高新技术 试样尺寸测定方法及试样尺寸测定装置
CN101279710A (zh) * 2007-04-06 2008-10-08 台湾积体电路制造股份有限公司 晶圆背面对准的重叠对准精度的判断方法及其晶圆
US20090216450A1 (en) * 2008-02-27 2009-08-27 Nuflare Technology, Inc. Apparatus and method for inspecting overlapping figure, and charged particle beam writing apparatus

Also Published As

Publication number Publication date
US20150140695A1 (en) 2015-05-21
KR20140032332A (ko) 2014-03-14
US8940555B2 (en) 2015-01-27
US20140065734A1 (en) 2014-03-06
CN103681400B (zh) 2016-06-08
DE102013213785A1 (de) 2014-03-06
TWI532075B (zh) 2016-05-01
TW201411693A (zh) 2014-03-16
KR101565956B1 (ko) 2015-11-05
SG2013057641A (en) 2014-04-28
US9099353B2 (en) 2015-08-04

Similar Documents

Publication Publication Date Title
JP6872593B2 (ja) 計測方法、コンピュータ製品およびシステム
JP7506800B2 (ja) メトロロジー方法、ターゲット、及び基板
CN112236724B (zh) 确定衬底上的一个或更多个结构的特性的量测设备和方法
CN103681400A (zh) 用于通过检验技术判断半导体重叠工艺窗口的方法及***
US8804137B2 (en) Unique mark and method to determine critical dimension uniformity and registration of reticles combined with wafer overlay capability
JP2019537237A (ja) メトロロジレシピ選択
KR102124896B1 (ko) 처리 파라미터의 간접 결정
KR20180058819A (ko) 계측 방법, 타겟 및 기판
TW201702754A (zh) 用於檢測及度量衡的方法與設備
JP2020501181A (ja) 測定方法の性能を予測するための方法及び装置、測定方法及び装置
US10571812B2 (en) Method of calibrating focus measurements, measurement method and metrology apparatus, lithographic system and device manufacturing method
CN114341741A (zh) 用于光刻过程性能确定的方法以及设备
CN111095112B (zh) 光刻过程中的量测
TWI662382B (zh) 度量衡方法及裝置
EP4113210A1 (en) A method of monitoring a measurement recipe and associated metrology methods and apparatuses
KR20230121053A (ko) 리소그래피 공정을 모니터링하는 방법
KR20240056509A (ko) 리소그래피 공정을 모니터링하는 방법 및 관련된 장치
NL2020324A (en) Metrology Method and Method of Device Manufacture

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20160608

Termination date: 20190906

CF01 Termination of patent right due to non-payment of annual fee