CN103140915A - 在沉积工艺过程中减轻衬底损伤的方法 - Google Patents

在沉积工艺过程中减轻衬底损伤的方法 Download PDF

Info

Publication number
CN103140915A
CN103140915A CN2011800476648A CN201180047664A CN103140915A CN 103140915 A CN103140915 A CN 103140915A CN 2011800476648 A CN2011800476648 A CN 2011800476648A CN 201180047664 A CN201180047664 A CN 201180047664A CN 103140915 A CN103140915 A CN 103140915A
Authority
CN
China
Prior art keywords
protective layer
layer
plasma
wafer substrates
deposition process
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2011800476648A
Other languages
English (en)
Inventor
吴晖荣
凯·宋
维克托·卢
朴凯金
游伟凡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of CN103140915A publication Critical patent/CN103140915A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明公开了用于在晶片衬底沉积保护层的***、方法和装置。在一个方面中,用配置成比第一等离子体辅助沉积工艺在晶片衬底中产生显著较少的损伤的工艺在晶片衬底的表面上沉积保护层。该保护层的厚度小于约100埃。使用所述第一等离子体辅助沉积工艺在该保护层上沉积阻挡层。

Description

在沉积工艺过程中减轻衬底损伤的方法
相关申请的交叉引用
该申请在35U.S.C.§119(e)下要求于2010年9月30号申请的美国临时专利申请No.61/388,513,于2011年2月2日申请的美国临时专利申请No.61/438,912,和于2011年9月15日申请的美国专利申请No.13/234,020的权益,其通过引用并入本文。
背景技术
在集成电路的制造中,金属线往往与电介质层接触。例如,可以形成电介质层中的沟槽,然后将金属沉积在沟槽中以形成金属线。使用具有低电阻率的铜以形成这些金属线可能是合乎期望的。但是,由于其在电介质层中的扩散性,铜不应该与电介质层直接接触。因此,可以在沉积铜之前在电介质层上沉积阻挡层,以使铜从电介质层分离。
发明内容
提供了用于形成阻挡层的方法、装置和***。根据不同的实施方式,该方法包括在晶片衬底的表面上首先沉积保护层。然后可以使用等离子体辅助沉积工艺在所述保护层上沉积阻挡层。
根据一种实施方式,一种方法,其包括使用配置成比第一等离子体辅助沉积工艺在晶片衬底中产生显著较少的损伤的工艺在晶片衬底的表面上沉积保护层。该保护层的厚度小于约100埃。使用所述第一等离子体辅助沉积工艺在该保护层上沉积阻挡层。
根据另一种实施方式,一种装置,其包括处理室和控制器。该控制器包括用于执行包括以下操作的程序指令:(1)使用配置成比第一等离子体辅助沉积工艺在晶片衬底中产生显著较少的损伤的工艺在晶片衬底的表面上沉积保护层,以及(2)使用所述等离子体辅助沉积工艺在所述保护层上沉积阻挡层。该保护层的厚度小于约100埃。
根据另一种实施方式,非暂时性计算机可读介质包括用于控制沉积装置的程序指令。该指令包括用于以下操作的指令:(1)使用配置成比第一等离子体辅助沉积工艺在晶片衬底产生显著较少的损伤的工艺在晶片衬底的表面上沉积保护层,以及(2)使用所述第一等离子体辅助沉积工艺在所述保护层上沉积阻挡层。所述保护层的厚度小于约100埃。
在下面的附图和具体描述中阐述了本说明书中描述的主题的实施方式的这些方面和其它方面。
附图说明
图1示出了沉积阻挡层的方法的流程图的示例。
图2示出了沉积阻挡层的方法的流程图的示例。
图3示出了适用于原子层沉积(ALD)和离子诱导原子层沉积(iALD)工艺的***的示意图的示例。
具体实施方式
在下面的具体描述中,阐述了多个特定的实施方式,以便提供所公开的实施方式的透彻理解。然而,显而易见,对本领域中的普通技术人员而言,所公开的实施方式可以不使用这些具体细节或者通过使用替代的元素或工艺进行实施。在其他情况下,不详细描述公知的工艺、程序和组件,以免不必要地使所公开的实施方式的各个方面不清楚。
在本申请中,术语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”和“部分制造的集成电路”可以互换使用。在本技术领域的普通技术人员会理解,术语“部分制造的集成电路”可以指在集成电路制造的许多阶段中的任何阶段期间的硅晶片。下面的详细描述假设所公开的实施方式是在晶片上实施的。然而,所公开的实施方式并不局限于此。工件可以具有各种形状、尺寸和材料。除了半导体晶片外,可以利用所公开的实施方式的其他的工件还包括诸如印刷电路板之类各种物品。
本文所描述的一些实施方式涉及用于在晶片衬底上的特征中沉积阻挡层的方法、装置和***。所公开的方法特别适用于在晶片衬底上的特征中的电介质材料上沉积金属扩散阻挡层,如氮化钽(TaN)阻挡层。在所公开的方法的一些实施方式中,首先在电介质材料上沉积保护层。然后,使用离子诱导的原子层沉积(iALD)或等离子体增强化学气相沉积(PECVD)工艺沉积TaN。该保护层可保护电介质材料不受到由iALD或PECVD工艺可能造成的损坏。
相对于其他沉积方法,iALD工艺具有产生较高密度和较低电阻率的TaN层的优点;TaN层的较高密度也会给出该层作为阻挡层的改进的性能的优点。另外,例如,用iALD工艺可以改变TaN层的表面的性质,以优化在TaN层沉积的后续层的粘附性。
简介
常用的金属阻挡层是氮化钽(TaN)。离子诱导的原子层沉积(iALD)是用于沉积TaN的一种工艺。iALD是等离子体辅助沉积工艺的示例。另一种等离子体辅助沉积工艺是等离子增强化学气相沉积(PECVD)。iALD工艺在美国专利No.6,428,859、6,416,822和7,871,678中得到描述,其全部内容通过引用并入本文。iALD工艺也在于2006年9月12日申请的名称为“METHOD OF REDUCING PLASMA STABILIAZATION TIME IN ACYCLIC DEPOSITION PROCESS”的美国专利No.11/520,497中得到描述,其全部内容通过引用并入本文。
与用其他方法制备的TaN层的密度相比,iALD工艺可产生具有更高的密度(例如,约13至14g/cm3)的TaN层,例如,热原子层沉积(ALD)通常产生具有约8至9g/cm3的密度的TaN层。iALD TaN层也可以具有比热ALD TaN层有更高的电导率和更低的电阻率。iALD工艺可能具有其他优势,包括提供非常保形的层,这些层的厚度的精确控制,改变层的组分的能力,以及改变层的表面以提高随后的层的粘附性的能力。
在材料的沉积过程中iALD工艺使用等离子体,这会导致晶片衬底上的电介质材料或其他材料的损伤。例如,当通过iALD工艺沉积TaN时,可能需要前驱体的预开裂以减轻TaN的成核延缓。在预开裂步骤中,它通常是约10个循环,每个循环沉积约0.3埃的TaN。每个循环涉及等离子体处理,以及例如,其上沉积TaN的低k电介质,该低k电介质在这些循环中可能无法免受等离子体的损坏。由于电介质的损坏会降低其电性能,因此避免晶片衬底上的电介质层的这种损害是非常重要的。在后道的金属化的情况中,低k电介质的损伤会引起电容中的介电常数增加,这会导致增加的电阻-电容(RC)延迟。在前道的金属化的情况下,在金属/电介质界面的高k电介质的损伤会导致金属的功函数变化,从而可能导致晶体管的性能退化。
方法
在所公开的实施方式中,使用第一等离子体辅助沉积工艺在晶片衬底上沉积保护层之后,在晶片衬底上沉积阻挡层。在一些实施方式中,使用iALD工艺在晶片衬底上在电介质上沉积保护层之后,沉积TaN层。该电介质可以是高-k或低-k电介质。例如,高-k电介质包括氧化锆、氧化铪、硅酸锆、和硅酸铪。低-k和超低-k电介质包括碳掺杂的氧化硅(SiOC)和低密度的SiOC基化合物。在iALD工艺中存在的离子的轰击会损伤这些电介质材料。所公开的实施方式的保护层可以用于在第一等离子体辅助沉积工艺过程中保护下伏的电介质免受损坏。
图1显示了沉积阻挡层的方法的流程图的示例。方法200的框202中,在晶片衬底的表面上沉积保护层。可以使用许多不同的工艺沉积保护层。在一些实施方式中,沉积保护层的方法可比诸如iALD工艺或PECVD工艺之类等离子体辅助工艺对晶片衬底产生显著较少的损伤。沉积工艺可能在晶片衬底的特征中产生良好的台阶覆盖。例如,可以用热ALD工艺、热化学气相沉积(CVD)工艺、低功率PECVD工艺、远程等离子体PECVD工艺、或溅射工艺沉积保护层。
在一些实施方式中,保护层可以用热ALD工艺沉积。热ALD工艺通常用两种不同的化学物或前驱体实施,并且是基于顺序、自限性的表面反应。前驱体以气态依次进入到反应室中,接触晶片衬底的表面。例如,当第一前驱体被接纳到反应室时,它被吸附到表面上。然后,当第二前驱体被接纳到反应室时,所述第一前驱体与第二前驱体在表面反应。通过反复暴露表面到交替顺序的脉冲形式的前驱体,沉积保护材料薄膜。热ALD工艺还包括使表面暴露于顺序的脉冲形式的单一的前驱体的工艺,这也会在表面上沉积保护材料薄膜。热ALD通常形成保形层,即,精确地仿效(follow)下伏表面的轮廓的层。通过反复暴露前驱体给表面,可以沉积薄的保护层。保护层的最终厚度取决于前驱体的吸收层的厚度,以及前驱体暴露循环的数目。热ALD工艺和装置的总体描述在美国专利NO.6,878,402中给出,其通过引用并入于此。
例如,在一些实施方式中,可以在约200至550℃用热ALD工艺沉积该保护层。该工艺序列可包括第一前驱体配料,第一前驱体吹扫,第二前驱体配料,和第二前驱体吹扫的操作。每个操作可以在约0.01乇至200乇的压强超过约0.1秒到30秒的时间段进行。
表I列出了用于沉积TaN保护层的热ALD工艺的一种实施方式的工艺条件。也可以使用惰性载气,如氩(Ar)、氦(He)、氮(N2),以帮助输送钽前驱体到反应室。可以在温度约300℃至320℃沉积TaN保护层。
在一般情况下,用于使用热ALD工艺沉积TaN保护层的前驱体是任何含钽的物质,其可以以气态提供,可以在相关表面上形成饱和的层,并且可以在有效的热ALD的工艺条件下还原以形成衬底的表面上的钽金属或氮化钽。前驱体在室温下可以是气体,或者可以是加热到足够高的温度的液体或固体以提供用于与惰性载气一起输送到衬底的足够的蒸气压。在一些实施方式中,钽前驱体是钽的卤化物,如TaF5、TaCl5、TaBr5或TaI5。钽卤化物可以用于生成TaN或金属Ta。然而,卤化物应谨慎使用,在沉积过程中产生的卤会与下伏层反应,这是不合乎期望的。使用钽卤化物前驱体沉积的氮化钽的热ALD工艺的实施例在美国专利No.7,144,806中给出,其通过引用并入本文。
在其他实施方式中,钽前驱体是三丁基酰亚胺-三(二乙基氨基)钽(TBTDET)。进一步实施方式使用其他钽-胺络合物用于钽前驱体,包括五(二甲基氨基)钽(PDMAT)、叔丁基氨基-三(二乙基氨基)钽(TDBDET)、五(二乙基氨基)钽(PDEAT)、五(乙基甲基氨基)钽(PEMAT),和酰亚胺-三(二甲氨基)钽(TAIMATA)。这些钽前驱体都含有氮。当使用这些前驱体之一时,如果使用氢等还原剂,可以形成钽(C)(N)。含氮还原剂的使用可生成富氮的TaN层。例如,含氮还原剂包括氨、氢和氨的混合物、以及胺(例如,三甲基胺,三乙基胺)。其他含钽前驱体也可用于沉积TaN保护层。
表Ⅰ.沉积TaN保护层的热ALD工艺的一种实施方式的工艺条件。
在一些其它实施方式中,可以使用低功率PECVD工艺沉积保护层。在低功率PECVD工艺中,在一些实施方式中,当沉积保护层时,施加射频(RF)功率以维持等离子体放电。也可以使用具有高射频功率源和低射频功率源两者的双频PECVD***。低功率的PECVD工艺利用等离子体增强前驱体的化学反应速率。某些低功率PECVD工艺允许使用低功率RF功率沉积材料,这可能导致在晶片衬底表面的暴露的电电介质层上有很小的损伤。
在使用低功率PECVD工艺沉积保护层的一些实施方式中,等离子体是低功率的等离子体。在一些实施方式中,对于300毫米的晶片衬底,用来产生等离子体的RF功率可以应用小于约100瓦(W)的功率。在一些实施方式中,用于产生等离子体的RF功率可以是约25W到150W。在一些实施方式中,用于产生等离子体的RF功率可以是约50W。其中可以使用低功率等离子体的PECVD工艺和装置在于2008年2月19日提交的名称为“PLASMA PARTICLE EXTRACTOR FOR PECVD”的美国专利申请No.12/070,616中得到总体描述,其通过引用并入本文。在一些实施方式中,可在约150℃至550℃使用低功率PECVD工艺沉积保护层。工艺序列可包括前驱体配料、前驱体吹扫、等离子体暴露、和等离子体后吹扫的操作。每个操作可以在约0.01乇至200乇的压强超过约0.1秒到30秒的时间段进行。
例如,TaN保护层可以使用低功率PECVD工艺沉积。前驱体配料首先接纳到处理室。前驱体配料期间,前驱体用低功率等离子体离解。在一些实施方式中,用约50瓦的射频功率产生等离子体。该前驱体吸附到晶片衬底表面。然后,可以从处理室吹扫过量的前驱体(即,未吸附到晶片衬底表面上的前驱体)。在一些实施方式中,可使用氩气和氢气的气体混合物,以从处理室清除过量的前驱体。用氩气和氢气产生的等离子体形成氩离子和氢自由基。氩离子提供能量以诱导吸附的钽前驱体和氢前驱体之间的化学反应,以形成TaN的单层。最后,可吹扫室以去除任何化学副产品。这一过程可重复进行,直到形成所需厚度的TaN保护层。表II列出了用于沉积TaN保护层的实施方式的低功率PECVD工艺的工艺条件(即,在工艺中的每个步骤的时间和相关的RF功率)。在一些实施方式中,使用增加的前驱体配料时间和增加的等离子体处理时间进行低功率PECVD工艺。上面列出的在热ALD工艺中使用的相同的钽前驱体也可在低功率PECVD工艺中使用。也可以使用惰性载气,如氩(Ar)、氦(He)、氮气(N2),以帮助输送前驱体到反应室中。
RF功率(W) 时间(s)
前驱体配料 50 0.5
吹扫 50 0.5
等离子体暴露 50 2
等离子体后吹扫 50 0.1
表II.用于沉积TaN保护层的低功率PECVD工艺的一种实施方式的工艺条件。
在一些实施方式中,可以使用远程等离子体PECVD工艺或远程等离子体ALD工艺沉积保护层。在远程等离子体PECVD工艺或远程等离子体ALD工艺中,可用远程等离子体源产生等离子体。用远程等离子体源产生的等离子体的使用可以最小化或基本上消除由等离子体引起的对晶片衬底的损伤。除了工件(例如,晶片衬底)不直接在等离子体源区域,远程等离子体PECVD工艺和远程等离子体ALD工艺类似于直接的PECVD工艺。等离子体源是晶片衬底的上游,并激活和/或离解前驱体物质以形成反应性的离子和自由基。在一些实施方式中,包括氨和氢的还原性气体也离解成远程等离子体源中的反应性离子和自由基。在一些实施方式中,喷头和面板可以用来过滤掉离子,使得只有自由基到达晶片衬底表面。自由基会导致超低k电介质的很小的损伤。另外,从等离子体源的面积去除晶片衬底可允许处理温度下降至约室温。远程等离子体PECVD工艺和装置在美国专利No.6,616,985和美国专利No.6,553,933中给出总体描述,这两者都通过引用并入本文。如上所述,在一些实施方式中,远程等离子体源也可在ALD类工艺中用于沉积保护层。
正如本文所指出的,在一些实施方式中,保护层可以是TaN。TaN作为保护层使用有助于随后通过iALD沉积的TaN阻挡层的性能。在某些其它实施方式中,该保护层可以是另一种材料制成的层,例如,金属(例如,钌(Ru)、钛(Ti)或钨(W))的层、金属氮化物(例如,氮化钛(TiN)或氮化钨(WN))的层、或金属碳化物的层。
在一些实施方式中,该保护层可以是至少约一个单层厚。在某些实施方式中,TaN层用于保护层,该TaN层可以是至少约3埃厚。在某些其它实施方式中,该保护层可以是约3至30埃厚,或约5埃厚。在一些实施方式中,该保护层可以是约40、50、或甚至100埃厚。据认为,一个单层的保护层对防止在随后的iALD工艺过程中的下伏电介质的损伤可能是足够的。如果保护层过厚,则特征中可能没有例如iALD TaN和Cu可以沉积的空间。
返回到图1所示的方法200,在框204中,使用第一等离子辅助工艺在保护层上沉积阻挡层。等离子辅助工艺包括iALD和PECVD工艺。iALD和PECVD工艺可以使用具有大于约300瓦的RF功率或约350到450W的RF功率产生的等离子体。在一些实施方式中,阻挡层可以是氮化钽、钽(Ta)、钨(W)、钛(Ti)、氮化钛(TiN)、氮化钛硅(TiNSi)、或类似物。在一些实施方式中,保护层和阻挡层的组合厚度可以是约5至50埃厚。
例如,在一些实施方式中,iALD工艺可用于沉积TaN阻挡层。对于沉积在热ALD TaN保护层上的iALD TaN层,例如,去除该源对晶片衬底可能造成的损坏的预开裂过程(如上所述)是不必要的。
为了沉积TaN阻挡层,首先被接纳到处理室的是前驱体配料。前驱体可化学性吸附到晶片衬底表面上。在一些实施方式中,前驱体可以形成晶片衬底表面上的约单层的覆盖物。如上所述,在用于TaN的沉积的热ALD工艺中使用的前驱体可用于iALD工艺。可以从处理室中吹扫过量的前驱体(即,未吸附到晶片衬底表面上的前驱体)。在一些实施方式中,可使用氩气和氢气的气体混合物,以从处理室中清除过量的前驱体。RF功率可施加到氩气和氢气,以形成氩离子和氢自由基。氩离子提供能量以诱导吸附的钽前驱体和氢前驱体之间的化学反应,形成TaN的单层。最后,可吹扫室以去除任何化学副产品。这一过程可重复进行,直到形成所需厚度的iALD TaN阻挡层。表Ⅲ列出了用于沉积TaN阻挡层的iALD工艺的特定实施方式的工艺条件(即,在工艺中的每个步骤的时间和相关的RF功率)。
RF功率(W) 时间(s)
前驱体配料 0 0.5
吹扫 0 0.5
等离子体开启 450 2
等离子体后吹扫 0 0.1
表III.用于沉积TaN阻挡层的iALD工艺的一种实施方式的工艺条件。
在一些实施方式中,使用相同的处理工具在晶片衬底上沉积保护层和阻挡层,即,在两种沉积工艺中使用相同的处理室。在一些实施方式中,使用相同的处理工具沉积保护层和阻挡层可以增加处理工具的产率并降低成本。在各种实施方式中,保护层和阻挡层与由一个工艺沉积的保护层和由iALD或PECVD沉积的阻挡层可具有相同的或几乎相同的组分。
如上所述,iALD TaN层比热ALD TaN层通常具有更高的密度和更高的导电性。此外,iALD工艺允许用组分调制物(modulations)形成层,在一些实施方式中,这些组分调制物可由iALD工艺中的等离子体物质产生。具有控制用iALD工艺沉积的TaN层的组分的能力,TaN层表面的组分可以进行定制以改善随后沉积在TaN层上的材料的粘附性。例如,当随后在TaN层上沉积铜时,TaN层的表面可能富集钽,从而将改善铜的粘附性。这可以避免在TaN阻挡层沉积Ta层的需要,这有时有助于改善铜的粘附性。
图2示出了沉积阻挡层的方法的流程图的示例。图2中所示的方法250的实施方式可以类似于图1中所示的方法200,但具有额外的框252。在框252,在框202中的在晶片衬底的表面上沉积保护层的操作后,处理该保护层。例如,保护层的处理会提高保护层的密度或阻挡层对保护层的粘附性。保护层处理的示例包括使保护层暴露于升高的温度(即,热退火)、等离子体或来自远程等离子体的物质(例如,增加保护层的密度)、还原气氛(例如,氩气和氨气的气氛中或氢气和氨气的气氛中),或其中沉积所述保护层的沉积室的真空中。
在一项实验中,结合iALD沉积的阻挡层的保护层用于半导体器件的制造工艺(工艺1)和PVD沉积的阻挡层用于另一种半导体器件的制造工艺(工艺2)。在工艺1中,使用热ALD工艺沉积5埃厚的TaN保护层在半导体双镶嵌结构上,然后使用iALD工艺在该保护层上沉积5埃厚的TaN层。使用物理气相沉积(PVD)工艺沉积Ta闪存层。在工艺2中,使用PVD工艺沉积TaN层。沉积TaN层后,使用PVD工艺沉积Ta闪存层。对于由工艺1和工艺2所形成的结构,使用PVD工艺沉积Cu层,然后电镀Cu。使用化学机械研磨(CMP)去除过多的铜。通常的半导体工艺用于完成双镶嵌器件的制造。
然后测量使用工艺1形成的器件以及用工艺2形成的器件的开尔文通过电阻(Kelvin via resistance)。虽然TaN保护层具有高电阻率,TaN保护层的使用不会导致高的开尔文通过电阻。这可能是由于穿过薄的保护层的电子隧穿。
装置
本文所公开的实施方式的另一个方面是配置以完成这里描述的方法的装置。一种合适的装置包括用于实现工艺操作的硬件和具有用于控制根据所公开的实施方式的工艺操作的指令的***控制器。用以实现工艺操作的硬件包括ALD处理室、iALD处理室和PECVD处理室。***控制器将典型地包括一个或多个存储器设备和被配置为执行所述指令的一个或多个处理器,以使该装置能执行根据所公开的实施方式的方法。包含用于控制根据所公开的实施方式的工艺操作的指令的机器可读介质可以耦合到***控制器。
图3示出了适合用于原子层沉积(ALD)和离子诱导的原子层沉积(iALD)工艺的***的示意图。在图3的***中,所有产生离子/自由基的进给气体和前驱体气体经由包括一系列的孔的阵列175的分配喷头171引入主体室190。然而,也可以使用基本平行或垂直于衬底181的面均匀地分配气体的其他方式。虽然示出的喷头171是在衬底181上方以引导气体向下流向衬底181,但替代的横向气体引导方案是可行的。各种横向气体引导方案在于2002年8月8日提交的美国专利申请No.10/215,711中得到描述,其通过引用并入于此。
在图3所示的***的实施方式中,RF偏置功率160的源通过阻抗匹配器件150耦合到在衬底底座182中的一个或多个静电吸盘(ESC)电极603,底座182包括绝缘体183。ESC电极603可以具有任意形状。RF偏置功率提供功率用于iALD过程中产生离子和产生的离子的能量控制。施加的RF偏置功率用于产生在主处理室180中(例如,在衬底181和喷头171之间)的等离子体172,以离解进给气体110和130,从而在衬底181上产生离子177和自由基176并诱导负电势V偏置185(即,在小于或等于约475W RF功率和约0.1至5乇压强下通常为约-10V至-80V的DC偏置电压)。负电势V偏置185调制等离子体中的带正电的离子的能量,并吸引带正电的离子朝向衬底的表面。带正电的离子撞击在衬底181上以驱动沉积反应,并提高沉积的膜的密度。离子能量更具体地通过E=e|Vp|+e|V偏置|给出,其中,Vp是等离子体电势(典型地约10V至20V)和V偏置是在衬底181上诱导的负电势V 185。负电势V偏置185由所施加的RF偏置功率控制。对于给定的处理区域的几何形状,诱导的负电势V偏置185随着RF偏置功率的增加而增加并随着RF偏置功率的减少而降低。
还能通过控制RF偏置功率控制密度,从而控制等离子体中产生的离子的数目。增加RF偏置功率通常增加离子的密度,导致撞击在衬底上的离子的通量增加。对于较大的衬底直径还需要较高的RF偏置功率。在一些工艺中,也可以使用小于或等于约0.5W/cm2的功率密度,对于约200毫米直径的衬底,这相当于小于或等于约150W。大于或等于约3W/cm2的功率密度(即,对于200毫米直径的衬底大于约1000W)可能导致不希望的沉积膜的溅射。
RF偏置功率的频率可以为约400kHz,约13.56MHz,或更高(例如,约60MHz,等)。但是,低的频率(例如,约400kHz)会导致具有可能导致过度溅射的高能端的广泛的离子能量分布。较高的频率(例如,约13.56MHz或更高)可能导致具有较低的平均离子能量的更紧密的离子能量分布,这对iALD工艺可能是有利的。因为在离子能够撞击在衬底上之前RF偏置极性转换,所以产生更均匀的离子能量分布,使得所述离子经历时间平均的电势。
正如在图3中所示的,施加的DC偏置的源也可以耦合到ESC衬底底座182。源可以是由中心旋塞518耦合到电压源525的具有能改变电压或表现出无穷大的阻抗的DC电源510。可选地,可变阻抗设备605可以串联地耦合到电压源525和直流电源510的中心旋塞518之间。电压源525本身耦合到波形发生器535。波形发生器可以是可变类型的波形发生器。可变类型的波形发生器可由控制计算机195控制,并在给定的工艺内在不同的时间有可变的波形,并且可以另外有非周期的输出信号。施加的DC偏置的源可以通过RF阻塞电容器601耦合到ESC衬底底座182,阻塞电容器601提供DC电源510的DC开路,并防止RF能量损坏DC电源510。
在iALD,同样的等离子体被用于生成离子177(用于驱动表面反应)和自由基176(用作第二反应物)。该iALD***利用传输动能的离子传输,而不是热能量来驱动沉积反应。由于温度可以用作二次控制变量,因而可以使用iALD在任意低的衬底温度(一般低于约350℃)沉积增强的膜。特别地,膜能够在室温或接近室温的温度(即,约25℃)或更低的温度下沉积。
图3的***包含:与主室体190基本连通或基本在主室体190内的基本封闭的室170。进给气体110和130通过阀115和116和气体供给线132输送到等离子体源室170。用于离子的产生的典型进给气体130包括但不局限于Ar、Kr、Ne、He和Xe。用于产生自由基的典型的进给气体110(例如,前驱体B)包括,但并非限于H2、O2、N2、NH3和H2O蒸气。离子177用于提供驱动第一吸附反应物和产生的自由基176之间的表面反应所需要的能量。
气态的反应物100(例如,前驱体A)、120(例如,前驱体C)和140(例如,前驱体D)可用于形成所需的层。第一反应物100(例如,前驱体A)可通过阀105和气体进给管线132引入室170。第二反应物120(例如,前驱体C)可通过阀125和气体进给管线132引入室170。第三反应物140(例如,前驱体D)可通过阀145和气体进给管线132引入室170。可以用真空泵184抽空室180。iALD***和方法在美国专利No.6,416,822和美国专利No.6,428,859中有进一步的描述。
进一步的实施
本文描述的设备/方法可以与光刻图案化(lithographic patterning)工具或方法联用,例如,用于半导体设备、显示器、LED、光电板等等的制造和生产。通常,但不是必定,这样的工具/方法将和普通的制造设施一起使用或操作。膜的光刻图案化通常包括部分或所有的以下步骤,每一步骤用一些可能的工具实施:(1)使用旋涂或喷涂工具在工件(即,衬底)上施用光致抗蚀剂;(2)使用热板或炉或UV固化工具固化光致抗蚀剂;(3)使用晶片步进式曝光机(wafer stepper)等工具将光致抗蚀剂在可见光或紫外线或X-射线下曝光;(4)使用诸如湿法工作台(wet bench)等工具,对抗蚀剂进行显影,以便选择性地去除抗蚀剂,从而进行图案化;(5)通过使用干的或等离子体辅助蚀刻工具,将光致抗蚀图案转移到基底膜或工件上;和(6)使用诸如RF或微波等离子体抗蚀剂剥离器等工具去除抗蚀剂。

Claims (20)

1.一种方法,其包括:
(a)使用配置成比第一等离子体辅助沉积工艺在晶片衬底中产生显著较少的损伤的工艺在所述晶片衬底的表面上沉积保护层,其中,所述保护层的厚度小于约100埃;以及
(b)使用所述第一等离子体辅助沉积工艺在所述保护层上沉积阻挡层。
2.根据权利要求1所述的方法,其中,所述保护层约一个单层厚。
3.根据权利要求1所述的方法,其中,所述保护层的厚度是约3至30埃。
4.根据权利要求1所述的方法,其中,所述第一等离子体辅助沉积工艺使用用大于约300瓦射频功率产生的等离子体。
5.根据权利要求1所述的方法,其中,所述保护层包括金属。
6.根据权利要求1所述的方法,其中,所述保护层包括氮化钽。
7.根据权利要求1所述的方法,其中,所述阻挡层包括氮化钽。
8.根据权利要求1所述的方法,其中,操作(a)和(b)在相同的处理室中进行。
9.根据权利要求1所述的方法,其中,操作(a)包括热原子层沉积工艺。
10.根据权利要求1所述的方法,其中,操作(a)包括采用低功率等离子体的化学气相沉积工艺。
11.根据权利要求1所述的方法,其中,操作(a)包括采用远程等离子体源的化学气相沉积工艺或采用远程等离子体源的原子层沉积工艺。
12.根据权利要求1所述的方法,其中,其上沉积所述保护层的所述晶片的所述表面包括电介质。
13.根据权利要求12所述的方法,其中,所述电介质是低k电介质。
14.根据权利要求12所述的方法,其中,所述电介质是高k电介质。
15.根据权利要求1所述的方法,其进一步包括:
在操作(a)后但在操作(b)之前,处理所述保护层。
16.根据权利要求1所述的方法,其中,所述第一等离子体辅助沉积工艺包括离子诱导的原子层沉积工艺。
17.根据权利要求1所述的方法,其进一步包括:
施加光致抗蚀剂到所述晶片衬底上;
使所述光致抗蚀剂暴露于光;
图案化该抗蚀剂并转移图案到所述晶片衬底上;以及
从所述晶片衬底选择性地去除所述光致抗蚀剂。
18.一种装置,其包括:
(a)处理室;以及
(b)控制器,其包括程序指令,所述程序指令用于进行包括以下步骤的处理:
使用配置成比第一等离子体辅助沉积工艺在晶片衬底中产生显著较少的损伤的工艺在所述晶片衬底的表面上沉积保护层,其中,所述保护层的厚度小于约100埃;以及
使用所述第一等离子体辅助沉积工艺在所述保护层上沉积阻挡层。
19.一种***,其包括权利要求18所述的装置,以及步进式曝光机。
20.一种非暂时性计算机的机器可读介质,其包括用于控制沉积装置的程序指令,所述指令包括用于以下操作的代码:
使用配置成比第一等离子体辅助沉积工艺在晶片衬底中产生显著较少的损伤的工艺在所述晶片衬底的表面上沉积保护层,其中,所述保护层的厚度小于约100埃;以及
使用所述第一等离子体辅助沉积工艺在所述保护层上沉积阻挡层。
CN2011800476648A 2010-09-30 2011-09-21 在沉积工艺过程中减轻衬底损伤的方法 Pending CN103140915A (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US38851310P 2010-09-30 2010-09-30
US61/388,513 2010-09-30
US201161438912P 2011-02-02 2011-02-02
US61/438,912 2011-02-02
US13/234,020 US20120083134A1 (en) 2010-09-30 2011-09-15 Method of mitigating substrate damage during deposition processes
US13/234,020 2011-09-15
PCT/US2011/052516 WO2012050770A2 (en) 2010-09-30 2011-09-21 Method of mitigating substrate damage during deposition processes

Publications (1)

Publication Number Publication Date
CN103140915A true CN103140915A (zh) 2013-06-05

Family

ID=45890184

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2011800476648A Pending CN103140915A (zh) 2010-09-30 2011-09-21 在沉积工艺过程中减轻衬底损伤的方法

Country Status (6)

Country Link
US (1) US20120083134A1 (zh)
KR (1) KR20140018843A (zh)
CN (1) CN103140915A (zh)
SG (1) SG188656A1 (zh)
TW (1) TW201218278A (zh)
WO (1) WO2012050770A2 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103189964A (zh) * 2010-11-04 2013-07-03 诺发***公司 钽的离子诱导原子层沉积
CN105492656A (zh) * 2013-06-28 2016-04-13 韦恩州立大学 作为用于在衬底上形成层的还原剂的二(三甲基甲硅烷基)六元环***和相关化合物
US9431269B2 (en) 2013-07-11 2016-08-30 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9633846B2 (en) 2013-04-05 2017-04-25 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US10224221B2 (en) 2013-04-05 2019-03-05 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US10533023B2 (en) 2013-06-28 2020-01-14 Wayne State University Bis(trimethylsilyl) six-membered ring systems and related compounds as reducing agents for forming layers on a substrate
CN114551640A (zh) * 2022-01-27 2022-05-27 晶科能源(海宁)有限公司 太阳能电池制作方法及太阳能电池

Families Citing this family (229)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20040151844A1 (en) * 2003-02-04 2004-08-05 Zhihong Zhang Method to plasma deposit on organic polymer dielectric film
US20070190780A1 (en) * 2003-06-18 2007-08-16 Applied Materials, Inc. Atomic layer deposition of barrier materials

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6277745B1 (en) * 1998-12-28 2001-08-21 Taiwan Semiconductor Manufacturing Company Passivation method of post copper dry etching
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US20100285667A1 (en) * 2009-05-06 2010-11-11 International Business Machines Corporation Method to preserve the critical dimension (cd) of an interconnect structure

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20040151844A1 (en) * 2003-02-04 2004-08-05 Zhihong Zhang Method to plasma deposit on organic polymer dielectric film
US20070190780A1 (en) * 2003-06-18 2007-08-16 Applied Materials, Inc. Atomic layer deposition of barrier materials

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
CN103189964A (zh) * 2010-11-04 2013-07-03 诺发***公司 钽的离子诱导原子层沉积
US9633846B2 (en) 2013-04-05 2017-04-25 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication
US10224221B2 (en) 2013-04-05 2019-03-05 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US11171021B2 (en) 2013-04-05 2021-11-09 Lam Research Corporation Internal plasma grid for semiconductor fabrication
CN105492656A (zh) * 2013-06-28 2016-04-13 韦恩州立大学 作为用于在衬底上形成层的还原剂的二(三甲基甲硅烷基)六元环***和相关化合物
TWI647223B (zh) * 2013-06-28 2019-01-11 韋恩州立大學 作爲用於在基材上形成層之還原劑的雙(三甲矽基)六員環系統及相關化合物
US10533023B2 (en) 2013-06-28 2020-01-14 Wayne State University Bis(trimethylsilyl) six-membered ring systems and related compounds as reducing agents for forming layers on a substrate
US9431269B2 (en) 2013-07-11 2016-08-30 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
CN104282522B (zh) * 2013-07-11 2017-01-18 朗姆研究公司 具有离子加速器的双室等离子体蚀刻器
US10134605B2 (en) 2013-07-11 2018-11-20 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
CN114551640A (zh) * 2022-01-27 2022-05-27 晶科能源(海宁)有限公司 太阳能电池制作方法及太阳能电池

Also Published As

Publication number Publication date
US20120083134A1 (en) 2012-04-05
TW201218278A (en) 2012-05-01
SG188656A1 (en) 2013-04-30
WO2012050770A3 (en) 2012-06-07
KR20140018843A (ko) 2014-02-13
WO2012050770A2 (en) 2012-04-19

Similar Documents

Publication Publication Date Title
CN103140915A (zh) 在沉积工艺过程中减轻衬底损伤的方法
KR101881181B1 (ko) 탄탈륨의 이온 유도 원자층 증착
CN109427576B (zh) 蚀刻方法
US10121653B2 (en) Bottom-up PEALD proces
US10199235B2 (en) Liner and barrier applications for subtractive metal integration
TWI680510B (zh) 用於高深寬比圓筒狀物蝕刻的含金屬側壁鈍化層之沉積技術
CN100590819C (zh) 等离子体增强原子层沉积方法和包含由此形成的膜的半导体器件
US7959985B2 (en) Method of integrating PEALD Ta-containing films into Cu metallization
CN100585818C (zh) 等离子体增强原子层沉积方法和由其制造的半导体器件
US7871676B2 (en) System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US8227344B2 (en) Hybrid in-situ dry cleaning of oxidized surface layers
US8053372B1 (en) Method of reducing plasma stabilization time in a cyclic deposition process
US6416822B1 (en) Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7348042B2 (en) Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US20020197402A1 (en) System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20050221000A1 (en) Method of forming a metal layer
CN112640064A (zh) 用于高深宽比蚀刻的含金属钝化
US7871678B1 (en) Method of increasing the reactivity of a precursor in a cyclic deposition process
US9269584B2 (en) N-metal film deposition with initiation layer
CN118140009A (zh) 具有静电夹持的远程等离子体沉积
WO2024076467A1 (en) Hydrogen reduction of silicon nitride passivation layer by formation and treatment of passivation sub-layers
CN112670173A (zh) 用于形成铜金属层的方法及半导体结构

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20130605