CN103026462A - 在高深宽比特征结构中沉积金属的方法 - Google Patents

在高深宽比特征结构中沉积金属的方法 Download PDF

Info

Publication number
CN103026462A
CN103026462A CN201180036678XA CN201180036678A CN103026462A CN 103026462 A CN103026462 A CN 103026462A CN 201180036678X A CN201180036678X A CN 201180036678XA CN 201180036678 A CN201180036678 A CN 201180036678A CN 103026462 A CN103026462 A CN 103026462A
Authority
CN
China
Prior art keywords
substrate
opening
power
metallic atom
target
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201180036678XA
Other languages
English (en)
Other versions
CN103026462B (zh
Inventor
艾伦·里奇
卡尔·布朗
约翰·皮比通
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103026462A publication Critical patent/CN103026462A/zh
Application granted granted Critical
Publication of CN103026462B publication Critical patent/CN103026462B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3492Variation of parameters during sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • H01J37/3408Planar magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3327Coating high aspect ratio workpieces

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供一种在形成于基板上的高深宽比特征结构中沉积金属的方法。在某些实施例中,一方法包括以超高频(VHF)频率施加第一射频(RF)功率至含金属的靶材以形成等离子体,该靶材设置在基板上方;施加直流(DC)功率至靶材,以引导等离子体朝向靶材;利用等离子体从靶材溅射金属原子,同时维持物理气相沉积(PVD)腔室内的压力使足以离子化大部分的金属原子;在开口的底表面上以及基板的第一表面上沉积第一多个金属原子;施加第二RF功率以将至少某些第一多个金属原子从底表面重分配至该开口侧壁的下部;以及通过减少PVD腔室内的离子化金属原子数量在侧壁上部沉积第二多个金属原子,其中第一多个金属原子与第二多个金属原子形成第一层,该第一层实质上沉积在开口的全表面上。

Description

在高深宽比特征结构中沉积金属的方法
技术领域
本发明的实施例大体涉及在形成于基板上的高深宽比特征结构中沉积金属的方法。
背景技术
穿硅通孔(TSV)或类似技术需要将连续含金属层沉积在基板上的高深宽比特征结构中。例如,该待沉积的含金属层可以是阻障层,以防止材料从该特征结构扩散进入该基板,或是晶种层,可运用电镀或其它适合技术利用该晶种层作为填充该特征结构的模板。高深宽比特征结构,例如,可包含深宽比约5:1或更大的特征结构。遗憾的是,发明人发现常规的直流(DC)溅射,例如在DC物理气相沉积(DC PVD)腔室内执行的DC溅射,无法提供对高深宽比特征结构的底表面的适当覆盖。例如,发明人发现就某些金属而言,例如钛(Ti)、钽(Ta)或铜(Cu),该底表面覆盖会低于约3%。该特征结构中缺乏连续表面覆盖会在填充该特征结构期间造成空隙的形成。此外,虽然可调整DCPVD工艺条件以达到可接受的底表面覆盖,但该些条件需要长的沉积时间并将基板暴露在高温下,此举显著影响每基板成本,且不良地将基板暴露在高温下,导致材料不良地在该基板的现有区域间扩散。
因此,发明人研发出改良的技术以在高深宽比特征结构中沉积连续含金属层。
发明内容
本发明提供一种在形成于基板上的高深宽比特征结构中沉积金属的方法。在某些实施例中提供一种在物理气相沉积(PVD)腔室内处理基板的方法,该基板具有开口,该开口形成在该基板的第一表面内,并且朝向该基板的与第一表面相对的第二表面延伸进入该基板内,该开口拥有高度对宽度至少5:1的深宽比。在某些实施例中,该方法可包括以超高频(VHF)频率施加第一射频(RF)功率至含金属的靶材,以从等离子体形成气体形成等离子体,该靶材设置在该基板上方;施加DC功率至该靶材,以引导等离子体朝向该靶材;利用该等离子体从该靶材溅射金属原子,同时维持PVD腔室内的第一压力使足以离子化从该靶材溅射出的大部分金属原子;在该开口的底表面上以及该基板的第一表面上沉积第一多个金属原子;施加第二RF功率至设置在该基板下方的第一电极,以将至少某些第一多个金属原子从该底表面重分配至该开口的侧壁的下部;以及通过减少PVD腔室内的离子化金属原子数量在该侧壁上部沉积第二多个金属原子,其中该第一多个金属原子与该第二多个金属原子形成第一层,该第一层实质上沉积在该开口的全表面上。
下文描述本发明的其它及进一步实施例。
附图简要说明
上文简要概述和下文更详细论述的本发明的实施例可通过参考在附图中图示的本发明的说明性实施例来理解。但是应注意的是,附图仅图示本发明的典型实施例,且因此不应视为是对本发明范畴的限制,因为本发明可允许其它等效实施例。
图1图示根据本发明某些实施例的处理基板的方法的流程图。
图2A-图2F图示根据本发明某些实施例的填充高深宽比开口的各个阶段。
图3图示根据本发明某些实施例的物理气相沉积(PVD)腔室的示意性剖面图。
为了促进理解,尽可能地使用相同的参考标记来表示图中共有的相同元件。图未按比例绘制且可为了清楚起见而简化。预期到一实施例的元件及特征结构可有利地并入其它实施例而无需进一步详述。
具体实施方式
本文提供在形成于基板上的高深宽比特征结构中沉积金属的方法。本发明方法有利地提供该高深宽比特征结构表面的金属连续覆盖,同时维持高工艺产量与低基板温度。本发明方法可与穿硅通孔(TSV)应用并用,例如,先通孔或后通孔的制造方法,以及与可能需要在高深宽比开口中沉积连续金属层的其它适合应用并用。
图1图示根据本发明某些实施例的处理基板的方法100的流程图。该方法100在下文是对照如图2所示的填充高深宽比特征结构的各个阶段描述。此外,该方法100可在具备DC与射频(RF)功率源两者的任何适合的PVD工艺腔室内执行,例如在下文描述并在图3中图示的工艺腔室300。
该方法100始于步骤102,提供基板200至PVD腔室,例如工艺腔室300。该基板200包含高深宽比开口202,该开口202是形成在该基板200的第一表面204内,并且该开口202朝向该基板200的与第一表面204相对的第二表面206延伸进入该基板200内。该基板200可以是在基板中形成有高深宽比开口的任何适合基板。例如,该基板200可包含硅(Si)、氧化硅(SiO2)或诸如此类的一种或多种。此外,该基板200可包含额外材料层,或者在基板内或基板上可形成有一个或多个完整或部分完整的结构。
该开口可以是拥有高深宽比的任何开口,例如通孔、沟槽、双镶嵌结构或诸如此类。在某些实施例中,该开口202可拥有至少约5:1的高度对宽度深宽比(例如高深宽比)。例如,在某些实施例中,该深宽比可以是约10:1或更大,例如约15:1。该开口202可通过运用任何适合蚀刻工艺来蚀刻该基板而形成。该开口202包含底表面208及侧壁210,如图所示。
在某些实施例中,底表面208与侧壁210可在如下所述沉积金属原子前先覆盖有一层或多层。例如,并且如图2A中虚线所示,该开口202的底表面与侧壁及该基板200的第一表面可由氧化物层212覆盖,例如氧化硅(SiO2)或诸如此类。在提供该基板200至PVD腔室前,可先行沉积或成长该氧化物层,例如在化学气相沉积(CVD)腔室或氧化腔室内。该氧化物层212可充当该基板与待随后沉积在该开口内的含金属层间的电气和/或物理阻障,和/或可用作在下述沉积工艺期间较该基板的原表面更好的附着表面。
在某些实施例中,可在该氧化物层212上方沉积阻障层214(如图所示),或是若无氧化物层存在的话,在该开口的底表面与侧壁及该基板的第一表面上方沉积所述沉积阻障层214。该阻障层214可发挥与上述氧化物层212类似的作用。在某些实施例中,该阻障层214可包含钛(Ti)、钽(Ta)、钴(Co)、钛、钽和/或钴的氧化物或氮化物或诸如此类的至少一种。可用任何适合方法沉积该阻障层214,例如CVD或PVD,包含运用下述方法100在该开口202内形成连续阻障层。
在某些实施例中,并且如图2A的虚体所示,该开口202可完全延伸通过该基板200,并且第二基板218的上表面216可形成该开口202的底表面208。该第二基板218可毗邻该基板200的第二表面206设置。此外(如图2F所示并在下文论述),器件,例如逻辑器件或诸如此类,或是器件需要电气连接的部分,例如栅极、接触焊盘、导电通孔或诸如此类,可设置在该第二基板218的上表面216内并与该开口202对准。
在步骤104,以VHF频率施加第一RF功率(例如从RF功率源318,在下文描述)至含金属的靶材,该靶材设置在该基板200上方,以从等离子体形成气体形成等离子体。例如,该靶材可以是下文论述的靶材306。该靶材可包含纯度适合的金属、金属合金或诸如此类的一种或多种,以在该开口202的表面以及该基板200的第一表面204上形成所要材料的连续阻障层或晶种层。例如,该靶材可包含钛(Ti)、钽(Ta)、铜(Cu)、铝(Al)、钴(Co)、钨(W)、它们的合金或诸如此类。该等离子体形成气体可包含氩(Ar)、氖(Ne)、氪(Kr)、氦(He)、氢(H2)、氮(N2)或诸如此类,或它们的组合。
可以VHF频率施加该第一RF功率,以进行从该等离子体形成气体形成等离子体以及利用该等离子体离子化从该靶材溅射出的金属原子的一或多种。如本文所使用,VHF频率是范围从约27MHz至约162MHz的频率。在某些实施例中,所施加的VHF频率约是60MHz。提高VHF频率可增加等离子体密度和/或从该靶材溅射出的金属原子的离子化数量。
在步骤106,施加DC功率至该靶材,例如,从耦合至该靶材306的DC功率源320,如下文关于图3所述。该DC功率可偏压该靶材,以促进引导等离子体朝向该靶材。该DC功率强度范围可从约1至约4千瓦(kW)。在某些实施例中,该DC功率可以是约2kW。可调整该DC功率以控制所溅射出的金属原子在该基板上的沉积速率。例如,增加该DC功率会导致等离子体与该靶材间的相互作用的增强,而增加金属原子从该靶材的溅射。
在步骤108,利用该等离子体从该靶材溅射出金属原子,同时维持该PVD腔室内的第一压力使足以离子化从该靶材溅射出的大部分金属原子。例如,大部分金属原子的范围可以是抵达晶圆的总金属原子数量的约50%至约75%。在某些实施例中,并且例如,最初从该靶材溅射出的金属原子可能大部分未离子化,仅在一旦通过该等离子体后,金属原子才会被离子化。例如,大部分的中性金属原子会损失在该工艺腔室的屏蔽下,因此抵达该晶圆的金属原子应是大多数被离子化的。该第一压力,除了所施加的第一RF功率与DC功率外,可取决于工艺腔室几何结构(例如基板尺寸、靶材至基板距离及诸如此类)。例如,在靶材至基板间隙配置为约60毫米(mm)的腔室中,该第一压力的范围可从约60至约300毫托耳(mT)。在某些实施例中,该第一压力是约100mT。可利用该等离子体形成气体的流速和/或额外气体,例如惰性气体的流速来维持该腔室中的第一压力,该额外气体可与该等离子体形成气体共流。该第一压力可在该靶材与该基板之间提供高密度气体分子,溅射出的金属原子可与气体分子碰撞而离子化。另外可用压力来控制从该靶材溅射出的金属原子的离子化数量。例如,增加该腔室内的压力和/或拉长该靶材至基板的间隙可增加与金属原子的碰撞次数,且因而增加离子化金属原子的数量。
在步骤110,在该基板200的上表面204上以及该开口202的底表面208上沉积第一多个金属原子220,如图2B所示。可用上文论述的处理条件例如该第一压力、第一RF功率、DC功率和/或该VHF频率,来沉积该第一多个金属原子220。此类处理条件可促进使该第一多个金属原子220的方向大约与基板200垂直,如图2B所示。在某些实施例中,可在该第一多个金属原子220的沉积期间施加可选的第三RF功率至该基板200。可以范围从约400kHz至约27MHz的频率以及高至约50W的功率施加该第三RF功率。在某些实施例中,该第三RF功率的频率可以是约2MHz,或约13.56MHz,或两者,若可选的第二RF功率源额外耦合该PVD腔室的基板支撑座。该可选的第三RF功率可以很小,以最小化沉积金属原子的能量,而使在该开口202的口部上方的任何悬垂物形成最小。
或者,与施加可选的第三RF功率达到类似效果的另一可选的实施例是使用调谐电路,该调谐电路可连结至该基板支撑件。可用该调谐电路(LC)来改变现有基板支撑调谐电路的阻抗,该现有基板支撑调谐电路耦合RF偏压源至该基板支撑件,以接受或拒绝来自该等离子体的电流。可通过改变电抗来调整离子化物质的抵达能量,以实现低能量或高能量工艺,此等同于低或高偏压功率。
在步骤112,施加第二RF功率以将至少某些第一多个金属原子220从该开口202的底表面208重分配至该开口202的侧壁210的下部222,如图2C所示。该下部222可包含占该开口202的侧壁210约50%的下端部分。可以与在以上步骤110所论述相同的频率来施加该第二RF功率。可使用第二RF功率来增加入射到该基板200上的离子224的离子能量和/或入射角,如图2C所示。例如,入射到该基板200上的离子可包含离子化金属原子、来自等离子体的离子化元素或它们的组合。可增强该第二RF功率以增加离子能量,例如,以增加离子对于该开口202的底表面208上的沉积金属原子的冲击,如图2B所示。离子对该开口的底表面208冲击的增加可促进金属原子的至少某些该第一多个金属原子220重分配至该下部222上,如图所示。该第二RF功率的强度可实质上大于如上述在第一多个金属原子220沉积期间施加的可选的第三RF功率的强度。例如,该第二RF功率的强度可大于约50瓦,或范围从约100至约400瓦。在某些实施例中,该第二RF功率的强度是约200瓦。
在某些实施例中,如图2C所示,至少某些第一多个金属原子220可从该基板200的上表面204重分配至该开口202内。例如,如图2C所示,由于入射离子224相对于该基板200的入射角并非垂直,此现象至少部分归因于所施加的第二RF功率,至少某些第一多个金属原子220可从该上表面204重分配至该开口202的侧壁210的上部226。
此外,在某些实施例中,在步骤112重分配至少某些第一多个金属原子220至该下部222时,保持该DC功率以继续利用等离子体从该靶材溅射金属原子。或者或是组合使用,在步骤112重分配至少某些第一多个金属原子220时,可维持该第一RF功率或该第一压力的至少之一以继续沉积该第一多个金属原子220。在某些实施例中,降低该第一压力至第二压力以减少入射在该基板200上的离子化金属原子数量。该第二压力的范围可从约40至约80mTorr。
或者,在步骤112重分配期间可实质减少或可终止该第一多个金属原子220的沉积。例如,并且在某些实施例中,可在重分配期间降低或关闭施加至该靶材的DC功率,以避免金属原子从该靶材溅射出。可用此类实施例来降低重分配期间沉积在该上表面204或该底表面208上的金属原子层的厚度。因此,在此替代实施例中,入射在该基板200上的离子224可实质上包含该等离子体形成气体的离子化元素。
在步骤114,通过减少PVD腔室内的离子化金属原子数量,在该侧壁210的上部226上沉积第二多个金属原子228(在图2D中所示),其中该第一多个金属原子220及该第二多个金属原子228共同形成第一层230,该第一层230实质上沉积在该开口202的全部表面上(在图2E中所示)。该上部226可包含占该开口202的侧壁210约50%的上端部分。该第二多个金属原子228的沉积可包含降低该第一RF功率、该第一压力或增加该DC功率的一种或多种,以达到在该侧壁210的上部226上沉积该第二多个金属原子228的目的。例如,并且在某些实施例中,可降低该第一压力至第三压力,或者替代地,可降低该第二压力至该第三压力,若该第一压力已如前述被降低。在某些实施例中,该第三压力的范围可从约10至约40mTorr。例如,该第三压力可能足以减少离子化金属原子的数量,此举转而增加入射到该基板200上的第二多个金属原子228内中性金属原子的数量。所述中性金属原子不具电荷,可能不会受到外力影响,例如来自等离子体、基板RF偏压或诸如此类的外力。因此,至少某些中性金属原子可以非垂直角度入射至该基板200并沉积在该上部226上,如图2D所示。
或者,或与沉积该第二多个金属原子228期间降低该PVD腔室内的压力组合使用,可将该第一RF功率从第一强度降至第二强度,以减少该PVD腔室内的离子化金属原子数量或该PVD腔室内的溅射金属原子数量的至少之一。在某些实施例中,该第二强度的范围可从约1kW至约3kW。
或者,或与沉积该第二多个金属原子228的任何上述实施例组合使用,可将该DC功率从第一强度降至第二强度,以通过减少该PVD腔室内的溅射金属原子数量来减少该PVD腔室内的离子化金属原子数量。在某些实施例中,该第二强度的范围可从约0.5kW至约2kW。
或者,或与沉积该第二多个金属原子228的任何上述实施例组合使用,可将该第二RF功率从第一强度降至第二强度或降至0强度,以减少或避免离子化物质将沉积的金属原子从该开口202上部226移除,所述离子化物质例如该等离子体形成气体的离子化元素。在某些实施例中,该第二RF功率的第二强度可以是约低于50W。
在步骤114形成该第一层230后,可通过电镀或类似的处理技术在该第一层230上沉积材料232以填充该开口202来继续进行该方法100,如图2F所示。该第一层230可用作晶种层,该材料232沉积在晶种层上。该材料232可包含金属、金属合金或诸如此类。在某些实施例中,该材料包含铜(Cu)、钨(W)或诸如此类的一种或多种。在某些实施例中,该材料232与该第一层230的金属是相同材料。
在某些实施例中,并且如上文参考图2A所论述,可在执行上述方法步骤104-114之前先提供该第二基板218。因此,如图2F所示,该第二基板218毗邻该基板200的第二表面206设置,在此该开口202完全延伸穿过该基板200,而该第二基板218的上表面216形成该开口202的底表面。在某些实施例中,器件234可设置在该第二基板的上表面216内,并与该开口202对准。可进一步处理该基板200的第一表面204以移除来自填充工艺的过量材料232、沉积的金属原子、可能存在的部分其它层(例如氧化物层212和/或阻障层214)。例如,可用化学机械研磨、抛光、蚀刻或诸如此类来移除不想要的材料并暴露出该第一表面204,如图2F所示。
或者,在某些实施例中,可能在执行方法步骤104-114前并不先提供该第二基板218。在此类实施例中,并且在如上述沉积该材料232后,该方法可通过从该基板200的第二表面206移除材料来继续进行,以移除该开口202的底表面208并暴露出该第一层230或该沉积材料232(在图2F中该第一层230图示为已暴露出)至少之一。例如,可从该基板200的第二表面206移除材料,例如,利用化学机械研磨、抛光或诸如此类,以暴露出该第一层230或该沉积材料232至少之一。
在从该第二表面206移除材料后,该基板200的第二表面206可与该第二基板218的上表面216耦合。在器件234设置在该第二基板218的上表面216内的实施例中,该器件234可与该基板200内的开口202对准。
图3图示根据本发明某些实施例的物理气相沉积腔室(工艺腔室300)的示意性剖面图。适合的PVD腔室的实例包含
Figure BDA00002778451700081
Plus与SIP
Figure BDA00002778451700082
PVD工艺腔室,两者皆可自加州圣塔克拉拉的应用材料公司购得。来自应用材料公司或其它制造商的其它工艺腔室也可受益于本文揭示的发明设备。
该工艺腔室300含有基板支撑座302,用以在基板支撑座302上容纳基板304;以及溅射源,例如靶材306。该基板支撑座302可定位于接地围封件内,该接地围封件可以是腔室壁308(如图所示)或接地屏蔽(图示接地屏蔽340,该接地屏蔽340在该靶材306上方覆盖至少某些部分的腔室300。在某些实施例中,该接地屏蔽340可延伸至该靶材下方以同时封住该支撑座302)。
在某些实施例中,该工艺腔室包含馈送结构,以耦合RF和DC能量至该靶材306。该馈送结构是一种设备,用来耦合RF和DC能量至该靶材,或者至含有该靶材的组件(例如,如本文所述)。该馈送结构的第一端可耦合至RF功率源318及DC功率源320,RF功率源318及DC功率源320可分别用来提供RF和DC能量至该靶材306。例如,该DC功率源320可用来向该靶材306施加负电压或偏压。在某些实施例中,该RF功率源318供应的RF能量的频率范围可从约2MHz至约60MHz,或者,例如,可使用非限制性频率,例如2MHz、13.56MHz、27.12MHz、40.68MHz或60MHz。在某些实施例中,可提供多个RF功率源(即,两个或更多个),来以多种上述频率提供RF能量。该馈送结构可由适合的导电材料制成,以传导来自该RF功率源318和该DC功率源320的RF和DC能量。
在某些实施例中,该馈送结构可有适合的长度,该适合的长度实质上分别促进RF和DC能量在该馈送结构周边的均匀分布。例如,在某些实施例中,该馈送结构可具有约1至约12英寸,或约4英寸的长度。在某些实施例中,主体可拥有至少约1:1的长度对内径比。提供至少1:1或更大的比率提供了从该馈送结构的更均匀的射频输送(即,该RF能量围绕该馈送结构更均匀分布,以使RF近于耦合至该馈送结构的真实中心点)。该馈送结构的内径可以尽可能小,例如,直径从约1英寸至约6英寸,或约4英寸。提供较小的内径促进了改良长度对内径比,而不会增加该馈送结构的长度。
该馈送结构的第二端可耦合至来源分布平板322。该来源分布平板包含孔324,该孔324穿透该来源分布平板322设置,并与该馈送结构的中央开口对准。该来源分布平板322可由适合的导电材料制成,以传导来自该馈送结构的RF和DC能量。
该来源分布平板322可经由传导构件325耦合至该靶材306。该传导构件325可以是管状构件,该管状构件的第一端326在接近该来源分布平板322外缘处耦合至该来源分布平板322的面向靶材的表面328。该传导构件325进一步包含第二端330,该第二端330在接近该靶材306外缘处耦合至该靶材306的面向来源分布平板的表面332(或是耦合至该靶材306的背板346)。
该传导构件325的内壁、该来源分布平板322的面向靶材的表面328以及该靶材306的面向来源分布平板的表面332界定出空腔334。该空腔334经由该来源分布平板322的孔324流体耦合至该主体的中央开口315。该空腔334与该主体的中央开口315可用来至少部分容纳可旋转磁控管组件336的一或多个部分,如图3所示并在下文进一步所述。在某些实施例中,该空腔可至少部分填充有冷却流体,例如水(H2O)或诸如此类。
可提供接地屏蔽340以覆盖该工艺腔室300的盖的外表面。该接地屏蔽340可经由,例如,该腔室主体的接地连接耦合至接地。该接地屏蔽340拥有中央开口,以容许该馈送结构穿过该接地屏蔽340,以耦合至该来源分布平板322。该接地屏蔽340可包含任何适合的导电材料,例如铝、铜或诸如此类。在该接地屏蔽340与该分布平板322的外表面、该导电元件325以及该靶材306(和/或背板346)之间提供绝缘间隙339,以避免RF和DC能量被直接导引至接地。该绝缘间隙可填充有空气或某些其它适合的介电材料,例如陶瓷、塑料或诸如此类。
在某些实施例中,接地环可围绕该馈送结构主体和下部设置。该接地环耦合至该接地屏蔽340,并且可以是该接地屏蔽340的集成部分或是耦合至该接地屏蔽的分离部分,以提供该馈送结构的接地。该接地环可由适合的导电材料制成,例如铝或铜。在某些实施例中,设置在该接地环的内径与该馈送结构主体的外径之间的间隙可保持最小,并且刚好足以提供电气隔离。该间隙可填充有绝缘材料,例如塑料或陶瓷,或者可以是气隙。该接地环避免该RF馈送(例如,电气馈送205,在下文论述)与该主体之间的干扰,由此改良等离子体与处理均匀性。
隔离平板338可设置在该来源分布平板322与该接地屏蔽340之间,以避免RF和DC能量被直接导引至接地。该隔离平板338拥有中央开口,以容许该馈送结构穿过该隔离平板338并耦合至该来源分布平板322。该隔离平板338可包含适合的介电材料,例如陶瓷、塑料或诸如此类。或者,可提供气隙来代替该隔离平板338。在提供气隙来代替该隔离平板的实施例中,该接地屏蔽340在结构上会足够稳固以支撑搁置在该接地屏蔽340上的任何组件。
该靶材306可经由介电隔离器344支撑在接地的导电铝配接器342上。该靶材306包含待在溅射期间沉积在该基板304上的材料,例如金属或金属氧化物。在某些实施例中,该背板346可耦合至该靶材306的面向来源分布平板的表面332。该背板346可包含导电材料,例如铜-锌、铜-铬或与该靶材相同的材料,以使RF和DC功率可经由该背板346耦合至该靶材306。或者,该背板346可以不导电,并且可包含导电元件(未图示),例如电气馈通或诸如此类,以将该靶材306的面向来源分布平板的表面332耦合至该导电元件325的第二端330。该背板346可被包含在内以,例如,改良该靶材306的结构稳定性。
该基板支撑座302拥有材料容纳表面,该材料容纳表面面向该靶材306的主表面并支撑待溅射涂布的基板304使基板304呈平面位置,与该靶材306的主表面相对。该基板支撑座302可将该基板304支撑在该工艺腔室300的中央区域348内。该中央区域348经界定为处理期间位于该基板支撑座302上方的区域(例如,当处于处理位置时介于该靶材306与该基板支撑座302之间)。
在某些实施例中,该基板支撑座302可以是能够垂直移动的,透过连接至底部腔室壁352的波纹管350,以容许该基板304经由位于该处理腔室300下部的负载锁定室(未图示)移送至该基板支撑座302上,并在之后升至沉积,或处理位置。可经由质流控制器356从气源354供应一种或多种处理气体至该腔室300下部内。可提供排气口358并经由阀门360连接至泵(未图示),用于该工艺腔室300的内部空间的排气,并促进在该工艺腔室300内部维持所要压力。
RF偏压功率源362可耦合至该基板支撑座302,以在该基板304上诱导负DC偏压。此外,在某些实施例中,可在处理期间在该基板304上形成负DC自偏压。例如,该RF偏压功率源362供应的RF功率的频率范围可从约2MHz至约60MHz,例如,可使用非限制性频率,例如2MHz、13.56MHz或60MHz。视情况,第二RF偏压功率源(未图示)可耦合至该基板支撑座302并提供任何上述频率以与该RF偏压功率源362并用。在其它应用中,该基板支撑座302可接地或维持电气浮接(electrically floating)。例如,在不需要RF偏压功率的应用中,电容调谐器364可耦合至该基板支撑座,以调整该基板304上的电压。
可邻近该靶材306的背表面(例如,面向来源分布平板的表面332)设置可旋转磁控管组件336。该可旋转磁控管组件336包含多个由底板368支撑的磁控管366。该底板368连接至旋转杆370,该旋转杆370与该腔室300及该基板304的中央轴重合。马达372可耦合至该旋转杆370上端,以驱动该磁控管组件336的旋转。该磁控管366在该腔室300内提供磁场,通常是平行且靠近该靶材306的表面,以俘获电子并增加局部等离子体密度,此举转而增加溅射率。磁控管366在该腔室300顶端周围产生电磁场,并且磁控管366经旋转以旋转该电磁场,该电磁场影响该工艺的等离子体密度以更均匀地溅射该靶材306。例如,该旋转杆370每分钟可旋转约0至约150次。
在某些实施例中,该腔室300可进一步包含工艺套组屏蔽374,该工艺套组屏蔽374拥有面对该中央区域348的内表面380。该工艺套组屏蔽374可与该配接器342的突出部分376连接。该配接器342转而密封并接地至该腔室壁308,该配接器342可以是铝。大体而言,该工艺套组屏蔽374沿着该配接器342的侧壁及该腔室壁308向下延伸至低于该基板支撑座302的上表面,并向上回升至触及该基板支撑座302的上表面为止(例如,在底部形成U形部分384)。或者,该工艺套组屏蔽的最底部不需要是U形部分384,而可以是任何适合形状。当该基板支撑座302位于较低的负载位置时,盖环386搁置在该工艺套组屏蔽374向上延伸的唇缘388顶端,但当该基板支撑座302位于较高的沉积位置时,该盖环386搁置在该基板支撑座302的外缘上,以保护该基板支撑座302免于受到溅射沉积。可使用额外的沉积环(未图示)来屏蔽该基板304的周边免于受到沉积。工艺套组屏蔽的实施例在下文根据本发明论述。
在某些实施例中,可在该腔室300周围设置磁控管390,以选择性地在该基板支撑座302与该靶材306间提供磁场。例如,如图3所示,当位于处理位置时,可将磁控管390设置在该腔室壁308外部周围恰好位于该基板支撑座302上方的区域内。在某些实施例中,该磁控管390可另外或替代地设置在其它位置上,例如毗邻该配接器342。该磁控管390可以是电磁铁,并且可耦合至功率源(未图示)以控制该电磁铁所产生的磁场强度。
可提供控制器310并将控制器310耦合至该工艺腔室300的各个组件以控制该工艺腔室300的操作。该控制器310包含中央处理单元(CPU)312、内存314以及支持电路316。该控制器310可直接控制该工艺腔室300,或经由与特定工艺腔室和/或支撑***组件相关联的计算机(或控制器)来控制。该控制器310可以是能够用在工业设定上以控制各个腔室及子处理器的任何形式的通用计算机处理器的一种。该控制器310的内存314,或计算机可读取介质,可以是能轻易取得的一种或多种内存,例如随机存取内存(RAM)、只读存储器(ROM)、软盘、硬盘、光学储存介质(例如,光盘或数字视频光盘)、闪存或任何其它形式的数字储存器(局域或远程的)。该支援电路316耦合至该CPU312以利用常规方式支持该处理器。这些电路包含高速缓存、电源、时钟电路、输入/输出电路***及子***,以及诸如此类。本文所述的发明方法可储存在该内存314中作为软件程序,该软件程序可经执行或引动(invoke)以利用本文所述方式控制该工艺腔室300的操作。该软件程序也可由第二CPU(未图示)储存和/或执行,该第二CPU远离由该CPU312控制的硬件定位。
因此,本文提供在形成于基板上的高深宽比特征结构中沉积金属的方法。所述发明方法有利地提供了该高深宽比特征结构表面的金属连续覆盖,同时维持高工艺产量与低基板温度。所述发明方法可与穿硅通孔(TSV)应用并用,例如,先通孔或后通孔的制造方法,以及与沉积连续金属层会是有利的其它适合应用并用。
虽然前述内容针对本发明的实施例,但可在不脱离本发明基本范畴下设计出本发明的其它及进一步实施例。

Claims (15)

1.一种在物理气相沉积(PVD)腔室内处理基板的方法,该基板具有一开口,该开口形成在该基板的第一表面内,并且朝向该基板的相对的第二表面延伸进入该基板内,该开口拥有高度对宽度为至少5:1的深宽比,该方法包括以下步骤:
以超高频(VHF)频率施加第一射频(RF)功率至含金属的靶材,以从等离子体形成气体形成等离子体,该靶材设置在该基板上方;
施加直流(DC)功率至该靶材,以引导该等离子体朝向该靶材;
利用该等离子体从该靶材溅射金属原子,同时维持该PVD腔室内的第一压力使足以离子化从该靶材溅射出的大部分金属原子;
在该开口的底表面上以及该基板的该第一表面上沉积第一多个金属原子;
施加第二RF功率至设置在该基板下方的第一电极,以将至少某些该第一多个金属原子从该底表面重分配至该开口的侧壁的下部;以及
通过减少该PVD腔室内的离子化金属原子数量在该侧壁的上部沉积第二多个金属原子,其中该第一多个金属原子与该第二多个金属原子形成第一层,该第一层实质上是沉积在该开口的全表面上。
2.权利要求1的方法,其中沉积该第一多个金属原子进一步包括:
施加一强度高至约50瓦的第三RF功率至该第一电极,以引导该第一多个金属原子朝向该开口的该底表面。
3.权利要求2的方法,其中该第三RF功率的强度低于该第二RF功率的强度。
4.权利要求1的方法,其中施加该第二RF功率至该第一电极以重分配至少某些该第一多个金属原子进一步包括:
在重分配至少某些该第一多个金属原子至该下部的同时,维持该DC功率的该强度以持续利用该等离子体从该靶材溅射金属原子;或
在重分配至少某些该第一多个金属原子至该下部的同时,降低该DC功率的该强度或将该DC功率关闭以避免金属原子利用该等离子体从该靶材溅射出。
5.权利要求1的方法,其中施加该第二RF功率至该第一电极以重分配至少某些该第一多个金属原子进一步包括:
在重分配至少某些该第一多个金属原子至该开口的所述侧壁的该下部的同时,维持该第一RF功率或该第一压力的至少之一,以将该第一多个金属原子持续沉积在该基板的该第一表面及该开口的该底表面上;或
将该第一压力降至第二压力。
6.权利要求1的方法,其中施加该第二RF功率以重分配至少某些该第一多个金属原子进一步包括将该第一压力降至第二压力,以及其中在所述侧壁的该上部沉积该第二多个金属原子进一步包括将该第二压力降至第三压力,以减少该PVD腔室内的离子化金属原子数量。
7.权利要求1的方法,其中在该开口的所述侧壁的该上部沉积该第二多个金属原子进一步包括以下步骤至少之一:
将该第一RF功率的该强度从第一强度降至第二强度,以减少该PVD腔室内的离子化金属原子数量;
将该DC功率的该强度从第一强度降至第二强度,以减少该PVD腔室内的离子化金属原子数量;或
将该第二RF功率的强度降至低于约50瓦。
8.权利要求1至7中任一项的方法,该方法进一步包括:
蚀刻该基板以在该基板内形成该开口;
在该基板的该上表面上并且沿着该开口的侧壁与底表面形成氧化物层;以及
在沉积金属原子之前先在该氧化物层上方形成阻障层。
9.权利要求8的方法,该方法进一步包括:
利用电镀工艺在该第一层上方沉积材料,以填充该开口。
10.权利要求9的方法,其中该沉积材料与该金属是相同材料。
11.权利要求9的方法,其中该基板是第一基板,并且该方法进一步包括:
提供第二基板,该第二基板毗邻该第一基板的该第二表面设置,其中该开口完全延伸穿透该第一基板,并且该第二基板的上表面形成该开口的底部。
12.权利要求9的方法,该方法进一步包括:
移除该开口的该底表面以暴露出该第一层或该沉积材料的至少其一。
13.权利要求12的方法,其中移除该开口的该底表面的步骤进一步包括:
利用化学机械研磨至少部分移除该基板的该第二表面,以移除该开口的该底表面。
14.权利要求13的方法,该方法进一步包括:
在至少部分移除该基板的该第二表面之后,耦合该基板的该第二表面至第二基板的上表面。
15.权利要求14的方法,其中耦合该基板的该第二表面进一步包括:
将该开口与相应组件对准,该相应组件的设置在该第二基板的该上表面内。
CN201180036678.XA 2010-07-30 2011-07-21 在高深宽比特征结构中沉积金属的方法 Expired - Fee Related CN103026462B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US36924010P 2010-07-30 2010-07-30
US61/369,240 2010-07-30
US13/178,870 2011-07-08
US13/178,870 US8846451B2 (en) 2010-07-30 2011-07-08 Methods for depositing metal in high aspect ratio features
PCT/US2011/044781 WO2012015656A2 (en) 2010-07-30 2011-07-21 Methods for depositing metal in high aspect ratio features

Publications (2)

Publication Number Publication Date
CN103026462A true CN103026462A (zh) 2013-04-03
CN103026462B CN103026462B (zh) 2016-05-11

Family

ID=45527174

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180036678.XA Expired - Fee Related CN103026462B (zh) 2010-07-30 2011-07-21 在高深宽比特征结构中沉积金属的方法

Country Status (6)

Country Link
US (1) US8846451B2 (zh)
JP (1) JP5889894B2 (zh)
KR (2) KR101760846B1 (zh)
CN (1) CN103026462B (zh)
TW (1) TWI517299B (zh)
WO (1) WO2012015656A2 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105200379A (zh) * 2014-06-25 2015-12-30 北京北方微电子基地设备工艺研究中心有限责任公司 沉积薄膜的磁控溅射方法
CN108140560A (zh) * 2015-10-22 2018-06-08 应用材料公司 用于钨膜的低电阻率物理气相沉积的***和方法
CN111133579A (zh) * 2017-09-05 2020-05-08 应用材料公司 3d存储器结构中由下而上方式的高深宽比孔洞形成
CN111755322A (zh) * 2014-06-06 2020-10-09 应用材料公司 用于改良的金属离子过滤的方法和设备
CN112020572A (zh) * 2018-03-21 2020-12-01 应用材料公司 在物理气相沉积腔室中沉积的层中的电阻区(ra)控制
CN115011928A (zh) * 2021-03-05 2022-09-06 台湾积体电路制造股份有限公司 再生靶材的方法及形成材料薄膜的方法
CN115038809A (zh) * 2020-06-16 2022-09-09 应用材料公司 使用脉冲偏压的悬垂部减少
CN116137873A (zh) * 2020-07-31 2023-05-19 应用材料公司 用于硅穿孔沉积的扩展腔室的方法和设备

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US9012766B2 (en) 2009-11-12 2015-04-21 Silevo, Inc. Aluminum grid as backside conductor on epitaxial silicon thin film solar cells
US9214576B2 (en) 2010-06-09 2015-12-15 Solarcity Corporation Transparent conducting oxide for photovoltaic devices
US9773928B2 (en) 2010-09-10 2017-09-26 Tesla, Inc. Solar cell with electroplated metal grid
US8563428B2 (en) * 2010-09-17 2013-10-22 Applied Materials, Inc. Methods for depositing metal in high aspect ratio features
US9800053B2 (en) 2010-10-08 2017-10-24 Tesla, Inc. Solar panels with integrated cell-level MPPT devices
US9054256B2 (en) 2011-06-02 2015-06-09 Solarcity Corporation Tunneling-junction solar cell with copper grid for concentrated photovoltaic application
CN102627004B (zh) * 2012-03-12 2014-07-30 江苏大学 用于超高密度探针存储的多层相变薄膜及其制备方法
EP2904643B1 (en) 2012-10-04 2018-12-05 SolarCity Corporation Solar cell with electroplated metal grid
US9865754B2 (en) 2012-10-10 2018-01-09 Tesla, Inc. Hole collectors for silicon photovoltaic cells
US9281436B2 (en) 2012-12-28 2016-03-08 Solarcity Corporation Radio-frequency sputtering system with rotary target for fabricating solar cells
US9412884B2 (en) 2013-01-11 2016-08-09 Solarcity Corporation Module fabrication of solar cells with low resistivity electrodes
US10074755B2 (en) 2013-01-11 2018-09-11 Tesla, Inc. High efficiency solar panel
WO2014110520A1 (en) 2013-01-11 2014-07-17 Silevo, Inc. Module fabrication of solar cells with low resistivity electrodes
US9624595B2 (en) 2013-05-24 2017-04-18 Solarcity Corporation Electroplating apparatus with improved throughput
GB201316366D0 (en) * 2013-09-13 2013-10-30 Teer Coatings Ltd Improvements to coating apparatus
US9960021B2 (en) * 2013-12-18 2018-05-01 Applied Materials, Inc. Physical vapor deposition (PVD) target having low friction pads
US10309012B2 (en) 2014-07-03 2019-06-04 Tesla, Inc. Wafer carrier for reducing contamination from carbon particles and outgassing
JP6329839B2 (ja) 2014-07-29 2018-05-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9899546B2 (en) 2014-12-05 2018-02-20 Tesla, Inc. Photovoltaic cells with electrodes adapted to house conductive paste
US9947822B2 (en) 2015-02-02 2018-04-17 Tesla, Inc. Bifacial photovoltaic module using heterojunction solar cells
US10014179B2 (en) 2015-02-13 2018-07-03 Applied Materials, Inc. Methods for forming cobalt-copper selective fill for an interconnect
US9761744B2 (en) 2015-10-22 2017-09-12 Tesla, Inc. System and method for manufacturing photovoltaic structures with a metal seed layer
US9842956B2 (en) 2015-12-21 2017-12-12 Tesla, Inc. System and method for mass-production of high-efficiency photovoltaic structures
US9496429B1 (en) 2015-12-30 2016-11-15 Solarcity Corporation System and method for tin plating metal electrodes
US10115838B2 (en) 2016-04-19 2018-10-30 Tesla, Inc. Photovoltaic structures with interlocking busbars
JP6871067B2 (ja) * 2017-05-31 2021-05-12 株式会社アルバック スパッタリング装置
US10672919B2 (en) 2017-09-19 2020-06-02 Tesla, Inc. Moisture-resistant solar cells for solar roof tiles
US10438846B2 (en) * 2017-11-28 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Physical vapor deposition process for semiconductor interconnection structures
US11190128B2 (en) 2018-02-27 2021-11-30 Tesla, Inc. Parallel-connected solar roof tile modules
BE1026449B1 (fr) * 2018-07-05 2020-02-03 Diarotech Procédé et dispositif de synthèse de diamant par CVD
KR102396184B1 (ko) 2019-03-12 2022-05-10 앱솔릭스 인코포레이티드 패키징 기판 및 이를 포함하는 반도체 장치
WO2020185021A1 (ko) 2019-03-12 2020-09-17 에스케이씨 주식회사 패키징 기판 및 이를 포함하는 반도체 장치
WO2020185020A1 (ko) 2019-03-12 2020-09-17 에스케이씨 주식회사 유리를 포함하는 기판의 적재 카세트 및 이를 적용한 기판의 적재방법
WO2020204473A1 (ko) 2019-03-29 2020-10-08 에스케이씨 주식회사 반도체용 패키징 유리기판, 반도체용 패키징 기판 및 반도체 장치
US11289329B2 (en) * 2019-05-03 2022-03-29 Applied Materials, Inc. Methods and apparatus for filling a feature disposed in a substrate
CN113366633B (zh) 2019-08-23 2022-07-12 爱玻索立克公司 封装基板及包括其的半导体装置
US11315771B2 (en) * 2020-07-14 2022-04-26 Applied Materials, Inc. Methods and apparatus for processing a substrate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6642146B1 (en) * 2001-03-13 2003-11-04 Novellus Systems, Inc. Method of depositing copper seed on semiconductor substrates
CN1655334A (zh) * 2004-01-12 2005-08-17 因芬尼昂技术股份公司 接触结构制造方法
CN1938449A (zh) * 2004-03-26 2007-03-28 东京毅力科创株式会社 离子化物理气相沉积(ipvd)工艺
US7682966B1 (en) * 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002091461A2 (en) 2001-05-04 2002-11-14 Tokyo Electron Limited Ionized pvd with sequential deposition and etching
JP2005082873A (ja) 2003-09-10 2005-03-31 Applied Materials Inc 膜形成方法
KR20050059782A (ko) 2003-12-15 2005-06-21 매그나칩 반도체 유한회사 스퍼터링 장치 및 이를 이용한 장벽 금속층 형성 방법
US7951262B2 (en) * 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US7268076B2 (en) * 2004-10-05 2007-09-11 Applied Materials, Inc. Apparatus and method for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
JP4704088B2 (ja) * 2005-03-31 2011-06-15 東京エレクトロン株式会社 プラズマ処理装置
US7700484B2 (en) 2005-09-30 2010-04-20 Tokyo Electron Limited Method and apparatus for a metallic dry-filling process
JP4827081B2 (ja) * 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
JP5294669B2 (ja) * 2008-03-25 2013-09-18 東京エレクトロン株式会社 プラズマ処理装置
US7967995B2 (en) * 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US7821107B2 (en) * 2008-04-22 2010-10-26 Micron Technology, Inc. Die stacking with an annular via having a recessed socket
WO2010004890A1 (ja) * 2008-07-11 2010-01-14 キヤノンアネルバ株式会社 薄膜の成膜方法
KR20100021856A (ko) * 2008-08-18 2010-02-26 삼성전자주식회사 관통 전극을 갖는 반도체장치의 형성방법 및 관련된 장치
US8344503B2 (en) * 2008-11-25 2013-01-01 Freescale Semiconductor, Inc. 3-D circuits with integrated passive devices
US20110220494A1 (en) * 2010-03-11 2011-09-15 Peijun Ding Methods and apparatus for magnetron metallization for semiconductor fabrication

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6642146B1 (en) * 2001-03-13 2003-11-04 Novellus Systems, Inc. Method of depositing copper seed on semiconductor substrates
CN1655334A (zh) * 2004-01-12 2005-08-17 因芬尼昂技术股份公司 接触结构制造方法
CN1938449A (zh) * 2004-03-26 2007-03-28 东京毅力科创株式会社 离子化物理气相沉积(ipvd)工艺
US7682966B1 (en) * 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111755322A (zh) * 2014-06-06 2020-10-09 应用材料公司 用于改良的金属离子过滤的方法和设备
CN105200379A (zh) * 2014-06-25 2015-12-30 北京北方微电子基地设备工艺研究中心有限责任公司 沉积薄膜的磁控溅射方法
CN105200379B (zh) * 2014-06-25 2018-03-09 北京北方华创微电子装备有限公司 沉积薄膜的磁控溅射方法
CN108140560A (zh) * 2015-10-22 2018-06-08 应用材料公司 用于钨膜的低电阻率物理气相沉积的***和方法
CN108140560B (zh) * 2015-10-22 2023-04-11 应用材料公司 用于钨膜的低电阻率物理气相沉积的***和方法
CN111133579A (zh) * 2017-09-05 2020-05-08 应用材料公司 3d存储器结构中由下而上方式的高深宽比孔洞形成
CN111133579B (zh) * 2017-09-05 2023-09-01 应用材料公司 3d存储器结构中由下而上方式的高深宽比孔洞形成
CN112020572A (zh) * 2018-03-21 2020-12-01 应用材料公司 在物理气相沉积腔室中沉积的层中的电阻区(ra)控制
CN115038809A (zh) * 2020-06-16 2022-09-09 应用材料公司 使用脉冲偏压的悬垂部减少
CN116137873A (zh) * 2020-07-31 2023-05-19 应用材料公司 用于硅穿孔沉积的扩展腔室的方法和设备
CN115011928A (zh) * 2021-03-05 2022-09-06 台湾积体电路制造股份有限公司 再生靶材的方法及形成材料薄膜的方法
CN115011928B (zh) * 2021-03-05 2024-03-05 台湾积体电路制造股份有限公司 再生靶材的方法及形成材料薄膜的方法

Also Published As

Publication number Publication date
KR20130093612A (ko) 2013-08-22
JP2013535577A (ja) 2013-09-12
US20120028461A1 (en) 2012-02-02
TW201207996A (en) 2012-02-16
WO2012015656A2 (en) 2012-02-02
JP5889894B2 (ja) 2016-03-22
US8846451B2 (en) 2014-09-30
CN103026462B (zh) 2016-05-11
WO2012015656A3 (en) 2012-04-12
TWI517299B (zh) 2016-01-11
KR101760846B1 (ko) 2017-07-24
KR20160003299A (ko) 2016-01-08

Similar Documents

Publication Publication Date Title
CN103026462B (zh) 在高深宽比特征结构中沉积金属的方法
US7820020B2 (en) Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US7399943B2 (en) Apparatus for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US8563428B2 (en) Methods for depositing metal in high aspect ratio features
US9499901B2 (en) High density TiN RF/DC PVD deposition with stress tuning
US7268076B2 (en) Apparatus and method for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
WO2006083929A2 (en) A physical vapor deposition plasma reactor with rf source power applied to the target

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20160511

Termination date: 20210721

CF01 Termination of patent right due to non-payment of annual fee