CN102687265A - 基于在浅沟槽隔离(sti)边缘局部引入的注入种类的场效应晶体管的漏电流控制 - Google Patents

基于在浅沟槽隔离(sti)边缘局部引入的注入种类的场效应晶体管的漏电流控制 Download PDF

Info

Publication number
CN102687265A
CN102687265A CN2010800438656A CN201080043865A CN102687265A CN 102687265 A CN102687265 A CN 102687265A CN 2010800438656 A CN2010800438656 A CN 2010800438656A CN 201080043865 A CN201080043865 A CN 201080043865A CN 102687265 A CN102687265 A CN 102687265A
Authority
CN
China
Prior art keywords
active region
transistor
region
channel transistor
injection
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010800438656A
Other languages
English (en)
Other versions
CN102687265B (zh
Inventor
T·卡姆勒
M·维尔特
R·博施克
P·亚沃尔卡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of CN102687265A publication Critical patent/CN102687265A/zh
Application granted granted Critical
Publication of CN102687265B publication Critical patent/CN102687265B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76237Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials introducing impurities in trench side or bottom walls, e.g. for forming channel stoppers or alter isolation behavior

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Semiconductor Memories (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

在静态存储器单元中,在利用绝缘材料填充隔离沟槽(203T)之前,透过该隔离沟槽(203T)的侧壁(203S)在主动区(202C)的端部纳入注入种类可显着降低形成连接该主动区(202C)与该隔离区(203)上方的栅极电极结构(210A)的接触组件时的失效率。该注入种类可为P型掺杂种类和/或惰性种类,以显着改变该主动区(202C)的该端部的材料特性。

Description

基于在浅沟槽隔离(STI)边缘局部引入的注入种类的场效应晶体管的漏电流控制
技术领域
一般而言,本发明涉及集成电路,尤其涉及包括存储器区域例如中央处理单元(CPU)的缓存的复杂电路中场效应晶体管的制造。
背景技术
集成电路包括依据特定电路布局形成于给定芯片面积上的大量电路组件,其中,晶体管组件是集成电路中的主要半导体组件之一。因此,各晶体管的特性显着影响完整集成电路的总体性能。一般而言,目前可实施多种工艺技术,其中,对于例如微处理器、储存芯片、专用集成电路(ASIC;application specific integrated circuit)等复杂电路,CMOS(互补金属氧化半导体)技术因其在操作速度和/或功耗和/或成本效益方面的优越特性而成为当前最有前景的技术之一。在利用CMOS技术制造复杂集成电路期间,可在包括结晶半导体层的基板上形成数百万个互补晶体管,亦即N沟道晶体管及P沟道晶体管。MOS晶体管,不论是N沟道晶体管还是P沟道晶体管,通常都包括PN结,其由高掺杂漏和源区与位于该漏区和该源区之间的反型掺杂或弱掺杂的沟道区之间的接口形成。该沟道区的电导率,亦即导电沟道的驱动电流能力,由形成于该沟道区上方并通过薄绝缘层与该沟道区隔离的栅极电极控制。在该栅极电极施加合适的控制电压而形成导电沟道时,该沟道区的电导率取决于掺杂浓度、多数载流子的迁移率以及-针对该沟道区沿晶体管宽度方向的给定延伸-源和漏区之间的距离,亦即沟道长度。
另一方面,MOS晶体管的驱动电流能力还取决于晶体管宽度,亦即晶体管沿垂直于电流流动方向的延伸程度,因此,栅极长度和沟道长度以及晶体管宽度是主要的几何参数,其结合“晶体管内部的”参数,例如总体载流子迁移率、阈值电压,亦即在栅极电极施加控制信号时在栅极绝缘层下方形成导电沟道的电压等,基本决定总体的晶体管性能。基于场效应晶体管,例如N沟道晶体管及P沟道晶体管,依据总体电路布局可设计更复杂的电路部件。例如,寄存器形式及静态随机存取存储器(RAM;random access memory)单元形式的储存组件是复杂逻辑电路的重要部件。例如,在复杂CPU内核操作期间,需要暂时储存和撷取大量数据,其中,储存组件的操作速度及容量显着影响该CPU的总体性能。依据复杂集成电路中使用的存储器阶层架构,可使用不同类型的存储器组件。例如,寄存器和静态RAM单元因其优越的存取时间而通常用于CPU内核中,而动态RAM组件因其相较寄存器或静态RAM单元具有增加的位密度而优先用作工作存储器。通常,动态RAM单元包括储存电容及单个晶体管,不过,其中,需要复杂存储器管理***周期性刷新该储存电容中储存的电荷,否则该电荷可因不可避免的漏电流而丢失。尽管动态RAM装置可具有很高的位密度,但必须结合周期性的刷新脉冲向储存电容充放电荷,从而使该些装置在速度和功耗方面的效率低于静态RAM单元。因此,静态RAM单元适合用作具有适度高功耗的高速存储器,不过需要多个晶体管组件以便能够可靠地储存信息位。
因此,进一步增加静态存储器区域的位密度要求场效应晶体管的尺寸缩小,并在操作速度、驱动电流能力等方面具有合适的晶体管性能。为此目的,通常提供半导体装置的密集存储器区域作为块状组态,亦即,使用阱区而非如绝缘体上硅(silicon-on-insulator;SOI)组态那样的绝缘埋层垂直限制晶体管装置的主动区,同时基于沟槽隔离实现主动区的横向界定。原则上,尽管SOI晶体管因其降低的结电容而在操作速度方面具有性能优势,从而适合作为速度关键信号路径中的晶体管,但静态存储器区域中的晶体管可能必须基于稳定的阈值电压条件来操作,而基于SOI组态可能无法有效满足该稳定的阈值电压条件,除非适当增加总体的晶体管尺寸以考虑浮体效应引起的任意阈值变动。由于“块状”晶体管可提供优越的内在阈值稳定性,而开关速度与复杂半导体装置的逻辑部分中的速度关键信号路径相比不太重要,因此可基于该块状组态实现晶体管密度及位密度的增加。
除了为获得高的位密度而缩小晶体管尺寸外,还需使该些密集装置区域中的线路网络适应该缩小的尺寸。通常基于金属化层的堆迭提供各半导体组件例如晶体管组件之间的大部分电性互连,因此需要复杂的金属化***,其最终通过使用接触结构连接各电路组件,其中,可将该接触结构理解为例如晶体管、电容等实际半导体组件与复杂线路***之间的接口。相应的接触结构包括形成于层间介电材料中的多个接触组件,该层间介电材料包围并钝化该些半导体组件。该些接触组件可由任意适当的导电材料形成,例如钨、铝等,可结合适当的阻挡材料,并连接电路组件的特定接触区域,例如晶体管的栅极电极结构、源和漏区等。由于缩小的晶体管尺寸,尤其是在密集静态存储器区域中,接触组件的尺寸及位置需适应密集晶体管的组态,同时使各电路组件实现节省空间的互连。为此目的,一些接触组件可经适当组态以“直接”连接不同的电路组件,而无需额外连接一个或多个上方的金属化层。
下面参照图1a至1d详细描述先进半导体装置中静态存储器单元的典型设计及相应制造技术,以描述与复杂接触结构相关的特定问题,该些问题可最终导致显着的良率损失。
图1a示意通常用于当今集成电路中的静态RAM单元150的电路图。存储器单元150包括储存组件151,其包括两个反向耦接的反相器152A、152B,各反相器包括由P沟道晶体管100P和N沟道晶体管100N构成的互补晶体管对。晶体管100P还可称作“上拉”晶体管,而晶体管100N可称作“下拉”晶体管。而且,存储器单元150包括通道晶体管100A,以使存储器单元151分别连接位线BL及反相位线BL。因此,可将通道晶体管100A的栅极电极看作字线,将其致能以对存储器单元151执行读写操作。从图1a明显看出,除六个晶体管100P、100N、100A外,在晶体管组件之间还需多個互连,以实现如图1a所示的电路设计。
图1b示意实际半导体装置或其布局的顶视图,其中实施存储器单元150,亦即六个晶体管及部分相关电性互连。如图所示,多个主动区102A、102B、102C、102D由隔离结构103横向界定,该隔离结构103通常为浅沟槽隔离,由任意适当的绝缘材料组成,例如二氧化硅等。另一方面,可将主动区102A、102B、102C、102D理解为硅基半导体区域,其中依据所需晶体管特性形成适当的掺杂分布以获得PN结。例如,主动区102A可容纳一通道晶体管100A及一下拉晶体管100N,二者均为N沟道晶体管。类似地,主动区102D可容纳另一通道晶体管100A及另一下拉晶体管100N。另一方面,主动区102B、102C可表示P沟道晶体管100P的主动区,其中,可适当选择晶体管特性,例如主动区102A、102B、102C、102D的宽度,以获得理想的电路行为。亦即,通常,例如相较通道晶体管100A,下拉晶体管100N具有增加的晶体管宽度,以提供增强的开关时间及驱动电流能力。而且,相较晶体管100N,上拉晶体管100P因其为P沟道晶体管并且主动区102B、102C的宽度小于主动区102A、102D而具有降低的驱动电流。而且,依据晶体管要求,栅极电极结构110形成于主动区102A、102B、102C、102D上方及部分隔离结构103上方。为降低金属化***中额外金属线的数量,各下拉晶体管100N分别与相应的上拉晶体管100P共享一栅极电极结构110,以提供图1a的电路图所需的电性连接。而且,提供多个接触组件121A、121B以接触晶体管100N、100P、100A,亦即主动区102A、102B、102C、102D和/或相应的栅极电极结构110。例如,提供“常规”接触组件121A以连接晶体管的主动区,亦即该些晶体管的源区和/或漏区;而接触组件121B具有特定设计,以连接其中一上拉晶体管100P的主动区与另一上拉晶体管100P以及相关联的下拉晶体管100N的栅极电极结构。因此,接触组件121B可形成于隔离结构103及相应主动区上方,但其可能导致良率损失增加,尤其是考虑复杂晶体管架构时,下面将参照图1c至1d作详细描述。
图1c示意沿图1b的线1c的剖视图。如图所示,包括存储器单元150(图1b)的半导体装置100包括基板101,例如硅基板,其上半部分可为结晶硅基半导体材料102。隔离结构103横向界定半导体材料102中的主动区102C,而主动区102C的垂直延伸由阱掺杂种类定义,例如,如前所述,当上拉晶体管100P代表P沟道晶体管时,主动区102C的垂直延伸由N型掺杂种类定义。在该所示制造阶段中,晶体管100P包括源漏区104,亦即P型重掺杂区,其与主动区102C的其余部分分别形成PN结。而且,沟道区107位于源漏区104之间。另外,栅极电极结构110形成于主动区102C上方,其中,通过栅极介电材料112隔离栅极电极材料111与沟道区107。应当了解,栅极电极材料111与栅极介电材料112可为任意理想的材料或材料组成,取决于总体的装置要求。例如,栅极介电材料112可包括高k介电材料,亦即,具有约10.0及更高介电常数的介电材料,并且栅极电极材料111可包括含金属材料。在其它情况下,栅极电极结构110具有较传统的组态,亦即,栅极介电材料112可由二氧化硅、氮化硅等组成,并结合多晶硅材料等。而且,可在栅极电极材料111的侧壁上形成隙壁结构113,其可由任意适当的材料组成,例如氮化硅,并可结合二氧化硅等形式的蚀刻停止材料。另外,在该制造阶段中,可至少在该源漏区104中形成金属硅化物区106,以降低晶体管100P的总体串联电阻,并为后续制造阶段中要形成的任意接触组件提供低接触电阻率。如图所示,该金属硅化物106还可形成于栅极电极结构110中。应当了解,如前所述,栅极电极结构110还形成于延伸进入主动区102B及102A的隔离结构103的上方(见图1b)。
在一些示例中,可基于约50纳米及更小的关键尺寸形成晶体管100P,亦即栅极电极材料111的长度为50纳米及更小,以提供高密度存储器单元150(见图1b)。而且,通常,可在沟道区107中引入特定类型的应变分量以增强总体晶体管性能,其可通过在源漏区104中纳入硅/锗合金105而有效实施于P沟道晶体管中。亦即,由于硅/锗晶格与硅晶格之间的晶格失配,因而材料105具有应变状态,相应在沟道区107中诱发压缩应变分量,得以增加载流子迁移率,从而相应直接转换为增加的驱动电流能力及更高的开关速度。
而且,栅极电极结构110嵌埋于层间介电材料120中,该层间介电材料120可包括例如氮化硅等蚀刻停止层122结合二氧化硅材料123等。应当了解,还可通过提供例如由高应力介电材料形成的层122,以将该层间介电材料120的部分用作应变诱导源。
半导体装置100可基于任意适当的制造方案形成,该制造方案可包括复杂光刻及蚀刻技术以形成隔离沟槽,随后利用绝缘材料填充该隔离沟槽,以获得隔离结构103。接着,可通过离子注入结合适当形成的注入掩模定义主动区102C以及任意其它主动区的基本掺杂浓度。然后,利用先进光刻及蚀刻技术形成栅极电极结构110,接着基于选择性外延生长技术执行适当的工艺序列以纳入硅/锗合金105。接着,形成源漏区104以及侧间隙壁结构113,随后执行硅化序列以形成区域106。接着,可基于任意适当的沉积技术沉积层间介电材料120,其中,可应用成熟的工艺,例如针对层120中的介电材料的内部应力水平,以获得理想的组态。
应当了解,由于总体降低的装置尺寸及复杂的制造序列,因此必须满足严格设定的工艺容差以获得所需的装置特性。例如,用以向P沟道晶体管100P中纳入硅/锗合金105的工艺序列可能需要额外的工艺,其可导致隔离结构103与主动区102C之间的形貌显着不同。另外,该硅化工艺可导致金属硅化物区106具有一定程度的不均匀性,尤其当要形成硅化镍时,相较其它金属硅化物材料,硅化镍因具有优越的电导率而经常被使用。因此,可能需要在极复杂的条件下执行进一步的工艺,亦即在层间介电材料120中形成接触组件以连接源漏区104及隔离结构103上方的栅极电极110。在该些情况下,尤其是在沿主动区102C的长度方向L界定主动区102C的接口103S处,当形成连接主动区102C,亦即区域104的其中一者,与隔离结构103上方的栅极电极110的接触组件121B(见图1b)时发生接触失效的概率增加。
图1d示意半导体装置100,其中,在层间介电材料120上方形成有蚀刻掩模125,以定义接触开口120A、120B的横向尺寸及位置,该接触开口120A、120B基于蚀刻工艺126形成,该蚀刻工艺126基于适当的等离子辅助蚀刻化学而执行以蚀刻穿过材料123并利用材料122作为蚀刻停止层。接着,适当选择蚀刻化学以蚀刻穿过材料122,同时利用金属硅化物区106作为蚀刻停止层。而且,在接触开口120B中,隔离结构103可能部分暴露于蚀刻环境中,并可导致不当的材料移除,尤其在接口103S处,取决于先前形成的表面形貌及装置特性,例如就金属硅化物106等而言。而且,可能或多或少地腐蚀隔离结构103上方的隙壁结构113,从而进一步暴露区域103,导致蚀刻工艺126期间不当的材料消耗。因此,可能在隔离结构103中和/或主动区102C的蚀刻区域形成额外的开口120C,其中,开口120C的深度强烈依赖于先前形成的装置组态。在许多情况下,开口120C可能延伸超出源漏区104,从而在利用导电材料填充接触开口120B、120A后最终形成额外的漏电流路径。在一些情况下,因填充有接触材料的开口120C造成源漏区104与其余N掺杂阱区102C之间的短路,可能发生晶体管100P总体失效。因此,当进一步增加静态存储器单元中的总体装置密度时,在复杂接触蚀刻步骤期间可能形成更复杂的条件,从而导致额外的良率损失,使得传统工艺技术不利于进一步缩小装置尺寸。
本发明针对可避免或至少减轻上述一个或多个问题的影响的各种方法及装置。
发明内容
下面提供本发明的简要总结,以提供本发明的其中一些态样的基本理解。本发明内容并非详尽概述本发明。其并非意图识别本发明的关键或重要组件或界定本发明的范围。其唯一目的在于提供一些简化的概念,作为后面所讨论的更详细说明的前序。
一般而言,本发明涉及方法及半导体装置,其中,通过在存储器单元的接触层中形成接触开口时增加“容差(error tolerance)”可减少密集存储器单元中的接触失效。如前所述,人们已经意识到,尤其隔离结构与存储器单元的上拉晶体管的主动区之间的接口是形成直接连接该主动区,亦即该上拉晶体管的源区或漏区,与该隔离沟槽上方的部分栅极电极结构的接触组件时的失效易发区。亦即,尤其,该上拉晶体管的该主动区沿长度方向的“端部”被认为是接触失效的主要来源。依据这里所揭露的原理,可专门改变该装置区域,以增强接触蚀刻工艺期间该区域的失效公差,其可通过透过隔离沟槽的侧壁向该主动区内纳入适当的种类来实现,而基本不影响该主动区的任意其它区域。为此,依据这里所揭露的一实施例,纳入掺杂种类以局部增加该隔离结构与该主动区之间的接口附近的源漏区的深度。在这里所揭露的另一些实施例中,纳入种类以局部改变该主动区的材料特性,例如显着降低电导率和/或增加在接触蚀刻工艺期间的抗蚀刻性。
这里所揭露的一种方法包括在半导体装置的半导体材料中形成隔离沟槽,其中,该隔离沟槽具有侧壁,其连接该半导体装置的存储器单元的第一晶体管的主动区。该侧壁沿长度方向界定该主动区。该方法进一步包括透过该侧壁的至少其中部分向该主动区的部分引入注入种类,其中,该注入种类自该侧壁向该主动区内沿该长度方向延伸特定距离。另外,引入该注入种类后,利用绝缘材料填充该隔离沟槽,以形成隔离结构。该方法进一步包括在该主动区之中及其上方形成该第一晶体管,以及在该隔离结构上方形成该存储器单元的第二晶体管的栅极电极的部分。另外,提供介电材料以包围该第一晶体管及该第二晶体管。而且,在该介电材料中形成接触组件,其中,该接触组件连接该主动区与该第二晶体管的该栅极电极的该部分。
这里所揭露的另一种方法包括在半导体材料中以及形成于该半导体材料上的绝缘材料中形成隔离沟槽,其中,该隔离沟槽横向界定一个或多个P沟道晶体管的第一主动区以及一个或多个N沟道晶体管的第二主动区,以及其中,该隔离沟槽具有连接该第一主动区的部分的侧壁。该方法进一步包括形成掩模以暴露该第一主动区及至少该侧壁,并覆盖该第二主动区及该隔离沟槽的部分。该方法还包括基于非零倾斜角度执行注入工艺并利用该掩模及该绝缘材料作为注入掩模,以透过该侧壁向该第一主动区中注入种类。另外,利用绝缘材料填充该隔离沟槽以形成隔离结构。而且,在该第一主动区之中及其上方形成该一个或多个P沟道晶体管,以及在该第二主动区之中及其上方形成该一个或多个N沟道晶体管。另外,该方法包括形成接触组件以连接该第一主动区与该一个或多个N沟道晶体管的至少其中一者的栅极电极。
这里所揭露的一种半导体装置包括形成于第一主动区之中及其上方的第一P沟道晶体管,以及形成于第二主动区之中及其上方的第二P沟道晶体管,其中,各该第一及第二P沟道晶体管包括具有三种不同深度的源漏区。该半导体装置进一步包括N沟道晶体管,其形成于第三主动区之中及其上方并包括具有两种不同深度的源漏区,其中,该第二P沟道晶体管及该N沟道晶体管共享一栅极电极结构,该栅极电极结构包括形成于隔离结构上方的电极部分。另外,该半导体装置包括接触组件,其形成于覆盖该第一及第二P沟道晶体管及该N沟道晶体管的层间介电材料中,其中,该接触组件连接该电极部分与该第一主动区。
附图说明
结合附图参照下面的说明可理解本发明,该些附图中类似的附图标记代表类似的组件。
图1a示意静态RAM单元的电路图;
图1b示意实施图1a的电路图的典型布局或半导体装置;
图1c和1d示意依据传统技术形成复杂接触组件的各制造阶段期间该存储器单元的部分剖视图;
图2a示意具有与图1b基本相同的组态的存储器单元的部分顶视图或布局;
图2b和2c示意依据本发明实施例在形成隔离沟槽并使主动区的端部具有优越材料特性的各制造阶段期间该存储器单元的部分剖视图;
图2d示意依据本发明实施例在覆盖其它装置区域的同时基于非零倾斜角度纳入注入种类的注入序列期间该半导体装置的顶视图;
图2e至2g示意依据本发明实施例在形成晶体管及接触组件的各制造阶段期间该半导体装置的剖视图;
图2h和2i示意依据本发明另一些实施例在填充隔离沟槽之前局部增加该主动区的“端部”的抗蚀刻性时该半导体装置的剖视图;以及
图2j和2m示意依据本发明另一些实施例在各制造阶段期间该半导体装置的剖视图。
尽管这里所揭露的发明主题容许各种修改及替代形式,但附图中以示例形式显示其特定的实施例,并在此进行详细描述。不过,应当理解,这里对特定实施例的说明并非意图将本发明限于所揭露的特定形式,相反,意图涵盖落入由所附权利要求定义的精神及范围内的所有修改、等同及替代。
具体实施方式
下面描述本发明的各实施例。出于清楚目的,并非实际实施中的全部特征都描述于本说明书中。当然,应当了解,在任意此类实际实施例的开发中,必须作大量的特定实施决定以满足开发者的特定目标,例如符合与***相关及与商业相关的约束条件,该些约束条件因不同实施而异。而且,应当了解,此类开发努力可能复杂而耗时,但其仍然是本领域技术人员借助本说明书所执行的常规程序。
下面参照附图描述本发明主题。附图中示意各种结构、***及装置仅是出于解释目的以及避免模糊本发明与本领域技术人员已知的细节。但是,本发明包括该些附图以描述并解释实施例。这里所用的词语和词组的意思应当解释为与相关领域技术人员对该些词语及词组的理解一致。这里的术语或词组的连贯使用并不意图暗含特别的定义,亦即与本领域技术人员所理解的通常惯用意思不同的定义。若术语或词组意图具有特定意义,亦即不同于本领域技术人员所理解的意思,则此类特别定义会以直接明确地提供该术语或词组的特定定义的定义方式明确表示于说明书中。
一般而言,本发明涉及制造技术及半导体装置,其中,通过在早期制造阶段中纳入适当的注入种类增加形成接触组件的容差,其中,该接触组件直接连接上拉晶体管的主动区与存储器单元的另一上拉晶体管及下拉晶体管的栅极电极结构。为此,在半导体材料中蚀刻隔离沟槽后,透过该沿长度方向界定主动区的隔离沟槽的侧壁向至少部分该主动区中选择性引入注入种类。一般而言,将主动区的长度方向理解为即将形成于该主动区之中及上方的一个或多个晶体管的基本电流流动方向。因此,局部改变该主动区“端部”或沿长度方向界定该主动区的接口处的材料特性时,由于该被修改部分远离相应晶体管的沟道区,因此对总体晶体管特性的影响程度可以忽略。在一些实施例中,为了在复杂接触蚀刻工艺期间实现有效的改变,从而增加失效容差,可纳入与源漏区掺杂的导电类型相同的掺杂种类,由于通过在注入工艺期间施加适当的倾斜角度可透过该沟槽侧壁纳入掺杂种类至任意理想的深度,因而可局部增加该接口处的源漏区的深度。在其它实施例中,除纳入对应源漏区掺杂种类的导电类型的掺杂种类外,还可纳入其它注入种类,或者以其它注入种类替代上述掺杂种类,以局部增加该主动区的抗蚀刻性和/或降低其电导率。例如,引入例如氮、碳、氧等适当的种类可局部改变抗蚀刻性,这样即使在半导体装置的进一步处理期间主动区与隔离结构之间的高度形成显着差别,仍可降低复杂接触蚀刻工艺期间材料腐蚀的程度。由于可能难以进一步优化该复杂接触蚀刻工艺,因此本发明显着放宽对该蚀刻工艺的严格要求,从而能够基于现有蚀刻技术进一步缩小装置尺寸。另一方面,可保持总体的晶体管特性,从而无需对总体晶体管组态作任何显着的修改。
下面参照图2a至2m描述详细实施例,其中可适当参照图1a至1d。
图2a示意半导体装置200或其相应电路布局的顶视图,其中显示存储器单元250的部分。该存储器单元250的该部分可包括多个主动区202A、202B、202C,其由隔离结构203横向界定。应当了解,存储器单元250的布局或组态可基本对应图1b所示的组态,以实现图1a所示的电路。例如,主动区202A对应主动区102A,并容纳通道晶体管200A及下拉晶体管200N,该些晶体管可为N沟道晶体管,如前所述。类似地,主动区202B、202C可对应主动区102B、102C,如前参照图1b所述。应当了解,主动区200A、202B、202C可适当连续以形成相邻存储器单元,其中,出于方便,仅显示主动区202E的一部分。而且,如前所述,存储器单元250可包括栅极电极结构,以分别构成晶体管200A、200N、200P,并提供其中一些晶体管的电性连接。例如,栅极电极结构210A可形成于主动区202A、202B上方以及隔离结构203上方,而栅极电极结构210B可形成于主动区202C及隔离结构203上方。因此,当形成连接栅极电极结构210A及主动区202C的接触组件时,可依据图1a的电路图获得有效的接触方案,如前所述。
针对所述部件的任意其它态样,适用前面参照图1a和1b的存储器单元150所述的相同标准。
图2b示意半导体装置200沿图2a所示的线IIb的剖视图。所示装置200处于早期制造阶段中,亦即在半导体材料202中形成隔离沟槽203T,该半导体材料202可形成于基板201上方或者其可代表结晶基板材料的上半部分。应当了解,材料202可定义块状组态,如前所述。应当理解,基于掺杂种类而非绝缘埋层材料定义形成于或将要形成于该半导体材料202中的主动区的深度。例如一些实施例中,该绝缘埋层材料可在SOI架构被认为具有优势的其它装置区域中提供。在该所示实施例中,隔离沟槽203T可具有适当的深度,以横向隔离相应的主动区。而且,掩模可由介电材料230构成。该介电材料230通常为第一层231的形式,例如二氧化硅材料,接着设有另一介电材料232,例如氮化硅材料。材料230可用作硬掩模材料,并可结合抗蚀剂掩模,以基于成熟的工艺技术形成沟槽203T。亦即,可例如通过氧化和沉积在半导体材料202上形成材料231及232,随后执行复杂的光刻技术以提供适当的抗蚀剂掩模(未图示)。接着,可利用适当的蚀刻技术图案化材料230,接着执行进一步的工艺步骤以蚀刻进入半导体材料202,从而形成隔离沟槽203T,以定义各主动区的横向位置及尺寸,例如如图2a所示。在形成隔离沟槽203T之前或之后,可通过注入等工艺结合适当的掩模方案引入阱掺杂种类
图2c示意下一制造阶段中的半导体装置200。如图所示,以虚线表示由隔离沟槽203T横向隔离的主动区202C、202E,而不论该些区域是否实际形成于该制造阶段中或将要形成于利用适当绝缘材料填充该隔离沟槽203T之后的后期制造阶段中。因此,在该制造阶段中,侧壁203S代表主动区202C沿长度方向L的边界,如前所述。而且,可对半导体装置200执行离子注入工艺240。在该工艺期间,可透过侧壁203S的部分向该主动区202C中引入注入种类241。为此目的,可以适当选择的倾斜角度<执行注入工艺240,可将该倾斜角度<理解为工艺240的平行离子光束相对层230的表面法线230N的入射角度。因此,如需要,可将倾斜角度<视为正角,以透过侧壁230S纳入种类241,而以负倾斜角度-<向主动区202E中引入掺杂种类。在一些实施例中,注入种类241可代表P型掺杂种类,例如硼等,以在侧壁203S处及其附近提供较高的P型掺杂浓度,不过,其中,将种类241的深度限制为低于主动区202C的深度,亦即,形成于半导体材料202或将要形成于半导体材料202中的相应阱掺杂种类的深度。为此目的,可依据沟槽203T的纵横比及总体形状选择倾斜角度<,以保持注入区241与主动区202C在深度层面的差距241D。亦即,若纵横比约为1,可选择使倾斜角度<>45°,其中,如图2c所示,对于沟槽203T的顶部的给定纵横比,沟槽203T的底部沟槽宽度的缩小可导致偏移241D增加。因此,通过适当选择倾斜角度<获得理想的偏移241D,可避免主动区202C短路进而桥接主动区202C与202E。而且,基于适当的注入种类,可选择另一些注入参数,例如剂量,尤其能量,以避免透过主动区202C的表面202S发生不期望的种类241的纳入。为此目的,使注入工艺240期间的能量适应层230的材料组成及厚度,以使该些材料提供足够的离子停止功能,从而抑制渗入主动区202C及其它主动区,例如主动区202E。考虑理想倾斜角度<后,基于实验和/或模拟可方便地确定适当的注入能量。亦即,通常,停止功能与倾斜角度<成反比。基于相应的能量,可获得特定的渗透距离或宽度241W,其依赖于主动区202C的沟道效应的程度及材料组成。在一些情况下,如认为相应的沟道行为不适当,注入工艺240可包括额外的注入步骤,以破坏或非晶化主动区202C的部分。而且,在一些实施例中,如后面详细描述,可在P型掺杂之外纳入其它注入种类,或以其它注入种类替代P型掺杂,以适当改变注入区域241中材料的特性。
图2d示意依据进一步实施例的装置200的顶视图。如图所示,可提供注入掩模242以覆盖不希望纳入注入种类241(见图2c)的区域。例如,如前所述,容纳N沟道晶体管的主动区202A可由掩模242覆盖,同时由于上拉晶体管的主动区202C、202B需要形成关键接触,因此暴露该些主动区。因此,在一些实施例中,可调整基于倾斜角度<执行的注入工艺240,以获得光束240的基本平行的分量。亦即,光束240相对长度方向的入射角度约为0°或180°,取决于总体的方位,以基本避免注入种类渗透穿过主动区202B、202C的侧壁2026。应当了解,较佳地,依据低于1°并较佳地低于0.1°的入射角度的差别,光束240平行于长度方向。
可基于现有光刻掩模获得例如由抗蚀剂掩模构成的注入掩模242,其通常用于专门调整存储器区域中P沟道晶体管的特性,同时覆盖其它晶体管及其它装置区域。在其它情况下,可使用专门设计的光刻掩模。
图2e示意下一制造阶段中的半导体装置200。在该实施例中,可将主动区202C设置为N掺杂区,并由隔离结构203界定。而且,可在主动区202C中形成应变诱导半导体合金205,例如硅/锗合金。另外,栅极电极结构210B、210A可分别形成于主动区202C及隔离结构203的上方。而且,注入区241的部分仍设于隔离结构203与主动区202C之间的部分接口处。
关于形成如图2e所示的半导体装置200的任意工艺技术,可参照装置100的相应制造技术。亦即,如前所述,在纳入注入种类241后,可依据任意适当的工艺技术形成隔离结构203、合金205(如需要)以及栅极电极结构210A、210B。应当了解,在形成隔离结构203期间,例如氧化工艺等可能导致消耗主动区202C的部分。
图2f示意下一制造阶段中的半导体装置200,其中,可在主动区202C之中及其上方形成晶体管200P。亦即,可设置源漏区204,其中,沿长度方向由隔离结构203界定的一区域204因存在注入区域241(图2e)而具有增加的结深度。因此,在关键侧壁203S处,可提供增加的结深度,以在随后形成连接区域204与隔离结构203上方的栅极电极结构210A的接触组件的工艺期间降低源漏区204的短路概率。而且,如前所述,晶体管200P可包括金属硅化物区206。另外,可提供层间介电材料220,例如由材料层222及223构成,以包围晶体管200P及栅极电极结构210A。关于形成如图2f所示装置200的任意工艺技术,适用如前面参照装置100所述的相同标准。
图2g示意下一制造阶段中的半导体装置200,其中,在层间介电材料中形成接触组件221A及221B。如前所述,接触组件221A可连接主动区202C,亦即源漏区204的其中一者,而接触组件221B可连接栅极电极结构210A及源漏区204的其中另一者。如图所示,即使接触组件221B延伸进入隔离结构203,通过注入区241实现的源漏区204的额外深度不会导致区域204相对其余主动区202C发生漏电流路径增加或短路的情况。因此,对于形成如前所述各接触开口以及利用任意适当的接触材料例如阻挡材料226及接触金属227填充该开口的给定制造技术,接触组件221B的接触失效概率显着降低。
图2h示意依据另一些实施例的半导体装置200的剖视图,其中,可在掺杂种类以外透过侧壁203S纳入其它注入种类241A,或以注入种类241A作为替代。例如,可纳入非掺杂种类,亦即不会增加半导体材料202的电导率的种类,以改变侧壁203S附近的材料特性。例如,通过纳入碳、氮、氧等,可相较即将基于沟槽203T形成的隔离结构的传统绝缘材料增加装置200的下一工艺期间的总体抗蚀刻性。以此方式,可局部增加沿沟槽203T的长度方向的尺寸,取决于种类241A的渗透深度。以此方式,不会影响其它装置区域中隔离沟槽203T的其余组态,例如基本不会影响主动区的侧壁,如前参照图2d所述。而且,若沿长度方向的相应改变限于特定的主动区,则可施加相应的注入掩模242,如前参照图2d所述。而且,由于在沟槽203T的底部或其附近纳入种类241A可能不重要,因此种类241A的纳入相对选择适当的倾斜角度而言不太重要,从而允许增加倾斜角度<1-<2的范围。亦即,对于高纵横比的沟槽,亦即对于具有较大深度及缩小宽度的沟槽,可能需要小倾斜角度<,以将该注入种类置于所需深度,从而允许下一工艺期间的蚀刻容差。因此,由注入工艺240A及热处理等后续工艺导致的注入种类241A的分布不太重要。
图2i示意下一制造阶段中,亦即形成栅极电极结构210A、210B后的半导体装置200,其中,针对如前所述通常导致隔离结构203的显着材料腐蚀的清洗工艺,蚀刻工艺等工艺,注入种类241A可至少降低侧壁203S附近的材料腐蚀。例如,纳入氮种类和/或氧种类和/或碳种类可显着增加区域241A的抗蚀刻性或电阻。例如,当抗蚀刻性增加时,除降低前述工艺期间的材料腐蚀外,在关键接触蚀刻工艺期间,最终的材料腐蚀显着降低,从而降低接触失效的概率。在其它情况下,当区域241A因隔离结构203的显着材料侵蚀而被接触组件接触时,提供电阻增加区域可显着降低漏电流。因此,在此情况下,对于用以提供连接主动区202C与栅极电极结构210A的接触组件的关键接触蚀刻工艺,失效容差增加。
图2j示意依据另一实施例的半导体装置200,其中,装置200可暴露于蚀刻环境233A,以在层232、231及半导体材料202中形成隔离沟槽203T至第一深度203D,该深度适于纳入掺杂种类。
图2k示意用以纳入掺杂种类241的注入工艺240期间的半导体装置200,其中,可透过沟槽203T的整体深度引入该种类241,以增加选择适当注入参数的灵活度。
图2I示意暴露于下一蚀刻步骤233B的半导体装置200,该蚀刻步骤用以形成沟槽203T的最终深度。因此,可将注入种类241可靠地限制于沟槽203T的上半部分,而不论例如就掺杂扩散等而言执行的进一步工艺。应当了解,可在无需任意额外掩模的情况下执行蚀刻工艺233A、233B,以便在任意类型的主动区部分提供注入种类241。
应当了解,在其它实施例中,如图2I所示的沟槽203T可基于单个蚀刻工艺及随后的注入工艺形成,如前所述,其中,如前所述,可基于工艺参数的适当选择将注入种类241限制于沟槽203T的上半部分。在此情况下,除材料231及232外,该注入工艺还可作为无掩模注入工艺执行,以避免额外的光刻步骤。
图2m示意下一制造阶段中的半导体装置200。在该实施例中,晶体管200P,亦即P沟道上拉晶体管,以及N沟道晶体管200N可在隔离结构203的相应接口203S处具有注入种类241。应当了解,图2m代表穿过主动区202C及主动区202A的部分的剖面(见图2a)。因此,由于P型掺杂种类241的纳入,邻近晶体管200P的接口203S的区域204的深度增加,而另一方面,在晶体管200N中,种类241可导致区域204中总体N掺杂降低,而增加的P型掺杂浓度可垂直连接区域204,从而基本不影响装置200N的总体晶体管行为。
因此,如前所述,可针对晶体管200P实现优越的工艺容差,而基本不影响晶体管200N,同时因避免额外的光刻步骤而提供优越的工艺效率。
因此,本发明提供半导体装置及制造技术,其中,通过在上拉晶体管的主动区与隔离结构的接口处选择性纳入注入种类增加存储器单元中接触组件的失效容差。因此,在该上拉晶体管的主动区与该隔离结构上方的栅极电极结构之间提供直接电性连接的接触组件可具有显着降低的接触失效概率,以便能够基于现有蚀刻技术进一步缩小装置尺寸。
由于本领域技术人员可借助这里的教导很容易地以不同但等同的方式修改并实施本发明,因此上述特定的实施例仅为说明性质。例如,可以不同的顺序执行上述工艺步骤。而且,本发明并不限于这里所示架构或设计的细节,而是如下面的权利要求所述。因此,显然,可对上面揭露的特定实施例进行修改或变更,所有此类变更落入本发明的范围及精神内。因此,下面的权利要求规定本发明的保护范围。

Claims (14)

1.一种方法,包括:
在半导体装置的半导体材料(202)中形成隔离沟槽(203T),该隔离沟槽(203T)具有侧壁(203S),其连接该半导体装置的存储器单元的第一晶体管的主动区(202C),该侧壁(203S)沿长度方向界定该主动区(202C);
透过该侧壁(203S)的至少其中部分向该主动区(202C)的部分引入注入种类,该注入种类自该侧壁(203S)向该主动区(202C)内沿该长度方向延伸特定距离;
引入该注入种类后,利用绝缘材料填充该隔离沟槽(203T),以形成隔离结构(203);
在该主动区(202C)之中及其上方形成该第一晶体管;
在该隔离结构(203)上方形成该存储器单元的第二晶体管的栅极电极(210A)的部分;
形成介电材料(220)以包围该第一晶体管及该第二晶体管;以及
在该介电材料(220)中形成接触组件(221B),该接触组件(221B)连接该主动区(202C)与该第二晶体管的该栅极电极(210A)的该部分。
2.如权利要求1所述的方法,其中,引入该注入种类包括利用离子束执行注入工艺,该粒子束具有平行于该长度方向的第一方位以及与该主动区的表面呈非零角度的第二方位。
3.如权利要求1所述的方法,其中,引入该注入种类进一步包括将该注入种类沿深度方向的延伸限制为小于该第一晶体管的阱区的延伸。
4.如权利要求1所述的方法,进一步包括透过形成于该半导体材料(202)上的两个或多个绝缘层形成该隔离沟槽(203),以及利用该两个或多个绝缘层作为注入掩模以阻止该注入种类纳入该主动区(202C)的表面。
5.如权利要求1所述的方法,其中,引入该注入种类包括引入蚀刻速率降低种类,其使邻近该侧壁的该主动区的部分的抗蚀刻性增加。
6.如权利要求9所述的方法,其中,该蚀刻速率降低种类包括氧、氮以及碳的至少其中一者。
7.一种方法,包括:
在半导体材料(202)中以及形成于该半导体材料(202)上的绝缘材料中形成隔离沟槽(203T),该隔离沟槽(203T)横向界定一个或多个P沟道晶体管(200P)的第一主动区(202C)以及一个或多个N沟道晶体管(200N)的第二主动区(202A),该隔离沟槽(203T)具有侧壁(203S),其连接该第一主动区(202C)的部分;
形成掩模以暴露该第一主动区(202C)及至少该侧壁(203S),并覆盖该第二主动区(202A)及该隔离沟槽(203T)的部分;
基于非零倾斜角度执行注入工艺并利用该掩模及该绝缘材料作为注入掩模,以透过该侧壁(203S)向该第一主动区(202C)中注入种类;
利用绝缘材料填充该隔离沟槽(203T),以形成隔离结构(203);
在该第一主动区(202C)之中及其上方形成该一个或多个P沟道晶体管(200P),以及在该第二主动区(202A)之中及其上方形成该一个或多个N沟道晶体管(200N);以及
形成接触组件(221B)以连接该第一主动区(202C)与该一个或多个N沟道晶体管的至少其中一者的栅极电极(210A)。
8.如权利要求7所述的方法,其中,该种类经选择以局部降低该第一主动区的电导率。
9.如权利要求7所述的方法,其中,该种类经选择以局部改变该主动区及该隔离结构的至少其中一者的抗蚀刻性。
10.如权利要求7所述的方法,其中,以基本平行于该第一主动区的长度方向执行该注入工艺。
11.如权利要求7所述的方法,进一步包括在该半导体材料中形成阱区以垂直界定该第一主动区,以及其中,该阱区的深度大于由该种类定义的注入区域的深度。
12.如权利要求7所述的方法,进一步包括在该半导体材料中形成阱区以垂直界定该第一主动区,以及其中,该阱区的深度小于由该种类定义的注入区域的深度。
13.一种半导体装置,包括:
第一P沟道晶体管(200P),形成于第一主动区(202C)之中及其上方;
第二P沟道晶体管,形成于第二主动区(202A)之中及其上方,各该第一及第二P沟道晶体管包括具有三种不同等级深度的源区和漏区的至少其中一者;
N沟道晶体管(200N),形成于第三主动区之中及其上方并包括具有两种不同等级深度的源和漏区,该第二P沟道晶体管及该N沟道晶体管共享一栅极电极结构,该栅极电极结构包括形成于隔离结构(203)上方的电极部分;以及
接触组件,形成于覆盖该第一及第二P沟道晶体管及该N沟道晶体管(200N)的层间介电材料中,该接触组件连接该电极部分与该第一主动区(202C)。
14.如权利要求12所述的半导体装置,其中,该第一及第二P沟道晶体管及该N沟道晶体管为存储器单元的部件。
CN201080043865.6A 2009-07-31 2010-07-28 基于在浅沟槽隔离(sti)边缘局部引入的注入种类的场效应晶体管的漏电流控制 Expired - Fee Related CN102687265B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
DE102009035409A DE102009035409B4 (de) 2009-07-31 2009-07-31 Leckstromsteuerung in Feldeffekttransistoren auf der Grundlage einer Implantationssorte, die lokal an der STI-Kante eingeführt wird
DE102009035409.3 2009-07-31
US12/838,810 US8481404B2 (en) 2009-07-31 2010-07-19 Leakage control in field effect transistors based on an implantation species introduced locally at the STI edge
US12/838,810 2010-07-19
PCT/IB2010/053437 WO2011013080A1 (en) 2009-07-31 2010-07-28 Leakage control in field effect transistors based on an implantation species introduced locally at the sti edge

Publications (2)

Publication Number Publication Date
CN102687265A true CN102687265A (zh) 2012-09-19
CN102687265B CN102687265B (zh) 2015-12-16

Family

ID=43430045

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080043865.6A Expired - Fee Related CN102687265B (zh) 2009-07-31 2010-07-28 基于在浅沟槽隔离(sti)边缘局部引入的注入种类的场效应晶体管的漏电流控制

Country Status (6)

Country Link
US (1) US8481404B2 (zh)
KR (1) KR101585610B1 (zh)
CN (1) CN102687265B (zh)
DE (1) DE102009035409B4 (zh)
TW (1) TWI520263B (zh)
WO (1) WO2011013080A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105334680A (zh) * 2014-08-15 2016-02-17 群创光电股份有限公司 阵列基板结构及接触结构

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102011079919B4 (de) * 2011-07-27 2016-11-10 Globalfoundries Inc. Verfahren zur Herstellung von komplementären Transistoren mit erhöhter Integrität von Gateschichtstapeln durch Vergrößern des Abstandes von Gateleitungen
US8481381B2 (en) 2011-09-14 2013-07-09 Globalfoundries Inc. Superior integrity of high-k metal gate stacks by preserving a resist material above end caps of gate electrode structures
US8778772B2 (en) 2012-01-11 2014-07-15 Globalfoundries Inc. Method of forming transistor with increased gate width
KR20150015187A (ko) * 2013-07-31 2015-02-10 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102085525B1 (ko) 2013-11-27 2020-03-09 삼성전자 주식회사 반도체 장치 및 그 제조 방법
CN105336660B (zh) * 2014-07-30 2018-07-10 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
TWI582968B (zh) * 2014-08-15 2017-05-11 群創光電股份有限公司 陣列基板結構及接觸結構
US9536946B2 (en) * 2014-08-25 2017-01-03 Samsung Electronics Co., Ltd. Semiconductor device and method for manufacturing the same
US9768062B1 (en) * 2016-06-16 2017-09-19 Samsung Electronics Co., Ltd. Method for forming low parasitic capacitance source and drain contacts
US10643852B2 (en) * 2016-09-30 2020-05-05 Semiconductor Components Industries, Llc Process of forming an electronic device including exposing a substrate to an oxidizing ambient
CN113614621B (zh) 2019-03-26 2023-06-02 瑞典爱立信有限公司 接触透镜***

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5690276A (en) * 1996-10-31 1997-11-25 Caltherm Two stage thermostatic valve device
US5904531A (en) * 1997-09-19 1999-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of increasing the area of a buried contact region
US20030006433A1 (en) * 2001-07-09 2003-01-09 Kota Funayama Semiconductor integrated circuit device
US20070023832A1 (en) * 2005-08-01 2007-02-01 Nec Electronics Corporation Semiconductor device and method of fabricating the same
US20070072376A1 (en) * 2005-09-29 2007-03-29 Semiconductor Manufacturing International (Shanghai) Corporation Strained-induced mobility enhancement nano-device structure and integrated process architecture for CMOS technologies
US20070161225A1 (en) * 2005-12-30 2007-07-12 Carsten Peters Method for reducing leakage currents caused by misalignment of a contact structure by increasing an error tolerance of the contact patterning process
US20070221973A1 (en) * 2006-03-24 2007-09-27 Matsushita Electric Industrial Co., Ltd. Solid-state imaging device and method for manufacturing the same
US20080029825A1 (en) * 2006-08-04 2008-02-07 Kentaro Saito Semiconductor device and method of manufacturing the same

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5930633A (en) * 1997-07-23 1999-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated butt-contact process in shallow trench isolation
US5960276A (en) * 1998-09-28 1999-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Using an extra boron implant to improve the NMOS reverse narrow width effect in shallow trench isolation process
US6335249B1 (en) * 2000-02-07 2002-01-01 Taiwan Semiconductor Manufacturing Company Salicide field effect transistors with improved borderless contact structures and a method of fabrication
TW200409279A (en) * 2002-11-27 2004-06-01 Promos Technologies Inc Method for forming trench isolation
US6949445B2 (en) * 2003-03-12 2005-09-27 Micron Technology, Inc. Method of forming angled implant for trench isolation
US7053690B2 (en) * 2004-07-08 2006-05-30 Oki Electric Industry Co., Ltd. Voltage generating circuit with two resistor ladders
US20060063338A1 (en) * 2004-09-20 2006-03-23 Lsi Logic Corporation Shallow trench isolation depth extension using oxygen implantation
KR100607198B1 (ko) * 2005-02-21 2006-08-01 삼성전자주식회사 반도체소자의 트렌치 소자 분리 방법
DE102006046377A1 (de) * 2006-09-29 2008-04-03 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit Isoliergräben, die unterschiedliche Arten an Verformung hervorrufen
US7892931B2 (en) * 2006-12-20 2011-02-22 Texas Instruments Incorporated Use of a single mask during the formation of a transistor's drain extension and recessed strained epi regions
JP5109403B2 (ja) * 2007-02-22 2012-12-26 富士通セミコンダクター株式会社 半導体記憶装置およびその製造方法
JP4840217B2 (ja) * 2007-03-27 2011-12-21 ブラザー工業株式会社 軸受
JP2009277722A (ja) * 2008-05-12 2009-11-26 Panasonic Corp 固体撮像装置及びその製造方法
US7968424B2 (en) * 2009-01-16 2011-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of implantation

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5690276A (en) * 1996-10-31 1997-11-25 Caltherm Two stage thermostatic valve device
US5904531A (en) * 1997-09-19 1999-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of increasing the area of a buried contact region
US20030006433A1 (en) * 2001-07-09 2003-01-09 Kota Funayama Semiconductor integrated circuit device
US20070023832A1 (en) * 2005-08-01 2007-02-01 Nec Electronics Corporation Semiconductor device and method of fabricating the same
US20070072376A1 (en) * 2005-09-29 2007-03-29 Semiconductor Manufacturing International (Shanghai) Corporation Strained-induced mobility enhancement nano-device structure and integrated process architecture for CMOS technologies
US20070161225A1 (en) * 2005-12-30 2007-07-12 Carsten Peters Method for reducing leakage currents caused by misalignment of a contact structure by increasing an error tolerance of the contact patterning process
US20070221973A1 (en) * 2006-03-24 2007-09-27 Matsushita Electric Industrial Co., Ltd. Solid-state imaging device and method for manufacturing the same
US20080029825A1 (en) * 2006-08-04 2008-02-07 Kentaro Saito Semiconductor device and method of manufacturing the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105334680A (zh) * 2014-08-15 2016-02-17 群创光电股份有限公司 阵列基板结构及接触结构

Also Published As

Publication number Publication date
WO2011013080A1 (en) 2011-02-03
TW201120990A (en) 2011-06-16
KR101585610B1 (ko) 2016-01-14
CN102687265B (zh) 2015-12-16
DE102009035409A1 (de) 2011-02-10
US20110024846A1 (en) 2011-02-03
KR20120041778A (ko) 2012-05-02
TWI520263B (zh) 2016-02-01
DE102009035409B4 (de) 2013-06-06
US8481404B2 (en) 2013-07-09

Similar Documents

Publication Publication Date Title
CN102687265B (zh) 基于在浅沟槽隔离(sti)边缘局部引入的注入种类的场效应晶体管的漏电流控制
CN1941380B (zh) 具有铟掺杂子区域的栅隔离区的半导体结构
CN100407426C (zh) 半导体器件中的节点接触结构及其制造方法
KR100562539B1 (ko) 벌크 씨모스 구조와 양립 가능한 에스오아이 구조
US7754513B2 (en) Latch-up resistant semiconductor structures on hybrid substrates and methods for forming such semiconductor structures
KR101148138B1 (ko) 리세스된 드레인 및 소스 영역을 갖는 nmos 트랜지스터와 드레인 및 소스 영역에 실리콘/게르마늄 물질을 갖는 pmos 트랜지스터를 포함하는 cmos 디바이스
US8766256B2 (en) SiGe SRAM butted contact resistance improvement
US7943471B1 (en) Diode with asymmetric silicon germanium anode
US20130313620A1 (en) Method and structure for radiation hardening a semiconductor device
US20190386011A1 (en) Semiconductor device and method of forming semiconductor device
US8026134B2 (en) Recessed drain and source areas in combination with advanced silicide formation in transistors
CN106409767A (zh) 多阈值电压场效应晶体管及其制造方法
US7105413B2 (en) Methods for forming super-steep diffusion region profiles in MOS devices and resulting semiconductor topographies
US20090194844A1 (en) Substrate contact for advanced soi devices based on a deep trench capacitor configuration
KR20110063796A (ko) 더블-채널 트랜지스터들을 포함하는 sram 셀들을 위한 보디 콘택
CN100524762C (zh) 双晶体管或非器件
US11302711B2 (en) Three-dimensional memory devices having a backside trench isolation and methods for forming the same
JP2008187007A (ja) 半導体記憶装置
US11552089B2 (en) Three-dimensional memory devices and methods for forming the same
CN109786319B (zh) 具有接触增强层的fdsoi半导体装置以及制造方法
US10504790B2 (en) Methods of forming conductive spacers for gate contacts and the resulting device
CN106558622A (zh) 一种用于esd防护的sti二极管
US9024409B2 (en) Semiconductor device and method for forming the same
TWI623099B (zh) 減少soi裝置中之天線效應
JP2006310625A (ja) 半導体記憶装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20210323

Address after: California, USA

Patentee after: Lattice chip (USA) integrated circuit technology Co.,Ltd.

Address before: Greater Cayman Islands, British Cayman Islands

Patentee before: GLOBALFOUNDRIES Inc.

TR01 Transfer of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20151216

Termination date: 20210728

CF01 Termination of patent right due to non-payment of annual fee