CN102265220B - Method of determining characteristic - Google Patents

Method of determining characteristic Download PDF

Info

Publication number
CN102265220B
CN102265220B CN200980152725.XA CN200980152725A CN102265220B CN 102265220 B CN102265220 B CN 102265220B CN 200980152725 A CN200980152725 A CN 200980152725A CN 102265220 B CN102265220 B CN 102265220B
Authority
CN
China
Prior art keywords
group
substrate
target
target complex
object group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN200980152725.XA
Other languages
Chinese (zh)
Other versions
CN102265220A (en
Inventor
H·麦根斯
J·范德尔斯
A·基尔
J·奎达克尔斯
毛瑞特斯·范德查尔
C·李维斯
H·范拉尔霍温
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN102265220A publication Critical patent/CN102265220A/en
Application granted granted Critical
Publication of CN102265220B publication Critical patent/CN102265220B/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

A first target population and a second target population are etched into a substrate. The second target population has an asymmetry with respect to the first target population. This can allow the different target populations to be distinguished and characteristics of the different target populations determined.

Description

Determine the method for characteristic
The cross reference of related application
The application requires the rights and interests of the U.S. Provisional Application 61/141414 submitted on Dec 30th, 2008, by reference described U.S. Provisional Application is incorporated herein in full.
Technical field
The present invention relates to a kind of method of characteristic of definite substrate.
Background technology
Lithographic equipment is a kind of required pattern to be applied on substrate, normally the machine in the target part of substrate.For example, lithographic equipment can be used in the manufacture of integrated circuit (IC).In this case, can be by the patterning device that is called alternatively mask or mask for being created on circuit pattern to be formed on the individual layer of described IC.This design transfer for example can be arrived, in for example, target part (, comprising a part of tube core, one or more tube core) on substrate (, silicon wafer).Conventionally, the transfer of pattern is to be undertaken by pattern being imaged onto on radiation-sensitive materials (resist) layer being provided on substrate.Conventionally, independent substrate will comprise the network of the adjacent target part that is formed continuously pattern.Known lithographic equipment comprises: so-called stepper, in described stepper, by exposing an entire pattern onto described target each the target part of radiation of partly coming up; And so-called scanner, in described scanner, by radiation beam, along assigned direction (" scanning " direction), scan described pattern, simultaneously along direction parallel or antiparallel with this direction, synchronously scan described substrate and carry out each target part of radiation.Also may by by pattern impression (imprinting) to the mode on substrate from patterning device by design transfer to substrate.
For monitoring photoetching process, need the parameter of measured pattern substrate, be for example formed on the aliasing error between the successive layers on substrate or in substrate.There are various technology for measuring the microstructure that is formed on photoetching process, comprise and use scanning electron microscope and various specific purpose tool.A kind of form of the special-purpose instruments of inspection is scatterometer, and wherein radiation beam is directed in the target on substrate surface, and the character of scattered-out beam or reflecting bundle is measured.By relatively by substrate, reflected or scattering before and the character of radiation beam afterwards, can determine the character of substrate.For example can be by reflecting bundle be compared to the character of determining substrate with the data that are stored in the known measurements storehouse relevant to known substrate character.The scatterometer of known two kinds of main Types.Spectral dispersion instrument guides to broadband radiation bundle on substrate, and measurement is dispersed into the radiation spectrum (as the intensity of function of wavelength) in specific narrow angular range.Angular resolution scatterometer is measured as the function of angle by the intensity of monochromatic beam and scattered radiation.
The manufacture of IC chip relates to the manufacture of multilayer.In order to produce more complicated pattern, can in the manufacture process of every layer, use a plurality of chemical etching procedure of processings: this is called as double patterning.There are many diverse ways for realizing double patterning.First method in these methods is called as photoetching-etching-photoetching-etching (LELE), and wherein the first pattern is exposed and etching.Then, exposure and etching the second pattern, in the space of the feature of the second pattern between the feature of the first pattern.Like this, can produce the pattern of smaller szie.Another kind of similarly double patterning technology is called as photoetching-freeze-photoetching-etching (LFLE).Exposing patterns in resist, then this pattern is frozen.Then, second pattern that also can expose in resist, etches into two patterns in substrate afterwards.Another kind of double patterning method is called as sept method.In sept method, sacrifice template is set, the contiguous template of sacrificing of sept is arranged on the both sides of sacrificing template.Then, template is removed, and the pattern of gained is etched in substrate.
When two lithography steps are used to form single pattern, during the second lithography step, for example may some error aspect the location of feature.Similarly, may be inconsistent with the feature that is exposed during the second lithography step in the feature being exposed during the first lithography step.Because there are two lithography steps, so the feature being exposed during each lithography step may be different, need to assess respectively.Yet, because the feature being exposed during the first and second lithography steps certainty is closely similar and the pattern of formation rule, so may be difficult to use angular resolution scatterometer to distinguish between two stack features.
In spacer techniques, use the pattern of sept generation rule.Yet if sept is too large or too little, pattern will be irregular.Similarly, although pattern can be almost irregular, by the little scrambling that is difficult to assess in pattern.
SEM formerly can be for assessment of the feature being exposed in each step of exposure.Yet SEM is fast not, can not meet the requirement of the substrate production amount when a large amount of IC of manufacture chip.
Summary of the invention
Therefore, need a kind of improved method for assessment of feature being used in double patterning technology.
In one embodiment of this invention, a kind of inspection machine of measuring substrate character that is configured for is provided, lithographic equipment or lithographic cell, a kind of for determining the method for the characteristic of first group of feature on substrate or second group, nominally described first and second groups (for example, in the identical and individual layer on substrate, (for example form haply), make) single pattern, the cycle of described pattern equals the feature of described first group and the distance between the nearest feature of described second group, described method comprises step: on described substrate, form first group, described first group comprises first object group, on described substrate, form second group, described second group comprises the second target complex, and described the second target complex and described first object group form the target complex of combination, detection is from the radiation of the target complex reflection of described combination, and use the characteristic of calculating described first group or described second group from the radiation of described target reflection, wherein said the second target complex has the asymmetry with respect to described first object group.
Below with reference to accompanying drawing, describe structure and the operation of other embodiment of the present invention, feature and advantage and each embodiment of the present invention in detail.Should be noted that, the invention is not restricted to specific embodiment described herein.These embodiment that illustrate are herein only the objects of example.Instruction based on comprising herein, other embodiment it will be apparent to those skilled in the art that.
Accompanying drawing explanation
Only by way of example, with reference to accompanying drawing, embodiments of the invention are described below, wherein in schematic figures, corresponding mark represents corresponding parts.In addition a part for the involved formation instructions of accompanying drawing.Accompanying drawing is used for explaining the present invention, and is further used for explaining principle of the present invention with together with the text description of instructions, makes those of ordinary skills can implement and use the present invention.
Fig. 1 illustrates lithographic equipment according to an embodiment of the invention;
Fig. 2 illustrates lithographic cell or photoetching bunch according to an embodiment of the invention;
Fig. 3 illustrates the first scatterometer according to an embodiment of the invention;
Fig. 4 illustrates the second scatterometer according to an embodiment of the invention;
Fig. 5 illustrates the pattern that uses according to an embodiment of the invention the exposure of double patterning technology;
Fig. 6 illustrates the figure line how intensity of Zero-order diffractive pattern change with aliasing error according to an embodiment of the invention;
Fig. 7 a illustrates the pattern wherein between first and second groups according to an embodiment of the invention with aliasing error;
Fig. 7 b illustrates the target complex wherein between the first and second target complexes according to an embodiment of the invention with skew and aliasing error;
Fig. 8 a illustrates stage in spacer patterns technology according to an embodiment of the invention and the pattern of gained;
Fig. 8 b illustrates and uses according to an embodiment of the invention stage of spacer patterns technology manufacturing objective and the target of gained;
Fig. 9 illustrates the target of manufacturing according to one embodiment of the invention;
Figure 10 illustrates another target according to an embodiment of the invention; And
Figure 11 illustrates target according to an embodiment of the invention.
According to the following detailed description carried out by reference to the accompanying drawings, it is more apparent that the features and advantages of the present invention will become, and wherein identical Reference numeral represents corresponding element in the text.In the accompanying drawings, identical, the functionally similar and/or similar element of structure of identical Reference numeral ordinary representation.The accompanying drawing that place appears in element first in corresponding Reference numeral by leftmost numeral indication.
Embodiment
This instructions discloses one or more embodiment, has wherein comprised feature of the present invention.The disclosed embodiments are only to provide example of the present invention.Scope of the present invention is not limited to the disclosed embodiments.The present invention is limited by the accompanying claims.
In described embodiment and instructions, quoting of " embodiment ", " embodiment ", " exemplary embodiment " etc. represented to described embodiment can comprise specific feature, structure or characteristic, but each embodiment can comprise specific feature, structure or characteristic.In addition, these statements are not must be with reference to identical embodiment.Whether in addition, when describing specific feature, structure or characteristic, should be appreciated that no matter describe in detail, in conjunction with other embodiment, implementing these features, structure or characteristic is in the knowledge of those skilled in the range in conjunction with the embodiments.
Embodiments of the invention can be implemented in hardware, firmware, software or its combination in any.Embodiments of the invention also can be implemented as the instruction being stored on machine readable media, and described instruction can be read and carry out by one or more processor.Machine readable media can comprise for for example, any mechanism with the storage of the readable form of machine (calculation element) or transmission information.For example, machine readable media can comprise ROM (read-only memory) (ROM), random access memory (RAM), magnetic disk storage medium, optical storage media, flash memory device, electricity, light, sound or other forms of transmitting signal are (for example, carrier wave, infrared signal, digital signal, etc.) and other.In addition, firmware, software, program, instruction can be described as implementing specific action in this article.Yet, should be appreciated that, these descriptions are only used to conveniently, and in fact these actions are by the calculation element of carrying out firmware, software, program, instruction etc., processor, controller or other devices produce.
Yet before describing these embodiment in detail, it is useful that the exemplary environments that can implement embodiments of the invention is shown.
Fig. 1 schematically shows lithographic equipment.Described equipment comprises: irradiation system (irradiator) IL, and it is configured for and regulates radiation beam B (for example, ultraviolet (UV) radiation or deep ultraviolet (DUV) radiation); Supporting construction (for example mask platform) MT, it is configured to support patterning device (for example mask) MA, and be configured for the first locating device PM that accurately locates patterning device MA according to definite parameter and be connected; Substrate table (for example wafer station) WT, it is configured to keep substrate (being for example coated with the wafer of resist) W, and be configured for according to definite parameter accurately the second locating device PW of position substrate W be connected; And optical projection system (for example refraction type projection lens system) PL, it is configured for the pattern of being given radiation beam B by patterning device MA is for example projected to, on the target part C (comprising one or more tube core) of substrate W.
Irradiation system can comprise various types of opticses, and for example optics of refractive, reflection-type, magnetic type, electromagnetic type, electrostatic or other type or its combination in any, to guide, to be shaped or to control radiation.
Described supporting construction MT supports patterning device, the weight of carrying patterning device.Supporting construction to depend on the direction of patterning device, the design of lithographic equipment and keep patterning device such as the mode whether patterning device remains on medium other conditions of vacuum environment.Described supporting construction can adopt machinery, vacuum, static or other clamping technology maintenance patterning device.Described supporting construction can be framework or platform, and for example, it can become fixing or movably as required.Described supporting construction can guarantee that patterning device is positioned at (for example, with respect to optical projection system) on desired position.The term of any use here " mask " or " mask " can be thought and more upper term " patterning device " synonym.
Here the term that used " patterning device " should be broadly interpreted as and represent can be used in by pattern, on the xsect of radiation beam, to give radiation beam to form any device of pattern in the target part of substrate.It should be noted that being endowed the pattern of radiation beam may be not conform to (if for example this pattern comprises phase shift feature or so-called supplemental characteristic) completely with the required pattern in target part at substrate.Conventionally, the pattern that is endowed radiation beam is corresponding by the specific functional layer in the device with forming in target part, for example integrated circuit.
Patterning device can be transmission-type or reflective.The example of patterning device comprises mask, array of programmable mirrors and liquid crystal display able to programme (LCD) panel.Mask is known in photolithography, and comprises the mask-type such as binary mask type, Alternating phase-shift mask type, attenuation type phase shifting mask type and various hybrid mask types.The example of array of programmable mirrors adopts the matrix arrangements of small reflector, and each small reflector can tilt independently, to reflect the radiation beam of incident along different directions.The described catoptron having tilted is given the radiation beam by described catoptron matrix reflection by pattern.
Term used herein " optical projection system " should broadly be interpreted as comprising the optical projection system of any type, comprise refractive, reflection-type, reflection-refraction type, magnetic type, electromagnetic type and electrostatic optical systems or its combination in any, as for used exposing radiation was applicable to or for such as use immersion liquid or use vacuum other factors were applicable to.Term used herein " projecting lens " can be thought and more upper term " optical projection system " synonym.
As shown here, described equipment is transmission-type (for example, adopting transmissive mask).Alternatively, described equipment can be reflection-type (for example, adopt the array of programmable mirrors of type as mentioned above, or adopt reflection type mask).
Described lithographic equipment can be the type for example, with two (, two platforms) or more substrate table (and/or two or more mask platform).In this " many " machine, can use concurrently additional platform, or when can carry out preliminary step on one or more platform, by one or more other for exposure.
Described lithographic equipment can be this type, and wherein at least a portion of substrate can for example, be covered by the liquid (water) with relatively high refractive index, to fill the space between optical projection system and substrate.Immersion liquid can also be applied in other spaces of lithographic equipment, for example the space between mask and optical projection system.Immersion technique is known in this area, for improving the numerical aperture of optical projection system.Terminology used here " submergence " does not also mean that structure, and for example substrate, must be immersed in liquid, and only means at exposure period interstitial fluid body and be positioned between optical projection system and substrate.
With reference to Fig. 1, described irradiator IL receives the radiation beam sending from radiation source S O.This source and described lithographic equipment can be discrete entities (for example, when this source is excimer laser).In this case, this source can be considered to a part that forms lithographic equipment, and by comprising the help of the bundle transmission system BD of for example suitable directional mirror and/or beam expander, described radiation beam be passed to described irradiator IL from described source SO.In other cases, described source can be the ingredient (for example, when described source is mercury lamp) of described lithographic equipment.If be called radiating system together with the described bundle transmission system BD can be by described source arranging with described irradiator IL and while needing.
Described irradiator IL can comprise for adjusting the adjuster AD of the angle intensity distributions of described radiation beam.Conventionally, can adjust at least described outside of the intensity distributions in the pupil plane of described irradiator and inner radial scope (being generally called σ-outside and σ-inside).In addition, described irradiator IL can comprise various other parts, for example integrator IN and condenser CO.Described irradiator IL can be used for regulating described radiation beam, to there is required homogeneity and intensity distributions in its xsect.
It is upper that described radiation beam B incides the described patterning device (for example, mask MA) for example remaining on, in supporting construction (, mask table MT), and form pattern by described patterning device., through after mask MA, described radiation beam B is by optical projection system PL, and described optical projection system focuses on radiation beam on the target part C of described substrate W.By the second locating device PW and position transducer IF (for example, interferometric device, linear encoder, two-dimensional encoded device or capacitive transducer) help, can accurately move described substrate table WT, for example, to different target part C is positioned in the path of described radiation beam B.Similarly, for example, after the machinery from mask storehouse obtains, or in scan period, can be by described the first locating device PM and another position transducer (clearly not illustrating in Fig. 1) for the location mask MA accurately of the path with respect to described radiation beam B.Conventionally, can be by forming the long stroke module (coarse positioning) of a part of described the first locating device PM and the movement that the help of short stroke module (fine positioning) realizes mask table MT.Similarly, can adopt the long stroke module of a part that forms described the second locating device PW and the movement that short stroke module realizes described substrate table WT.The in the situation that of stepper (contrary with scanner), mask table MT can only be connected with short-stroke actuator, maybe can fix.Can come alignment mask MA and substrate W with mask alignment mark M1, M2 and substrate alignment mark P1, P2.Although shown substrate alignment mark has occupied application-specific target part, in the space that they can be between target part (these are known as line alignment mark).Similarly, in the situation that more than one tube core is arranged in mask MA, described mask alignment mark can be between described tube core.
Can by shown in equipment at least one of following pattern:
1. in step mode, mask table MT and substrate table WT are remained substantially static in, the whole pattern of giving described radiation beam is once projected to target part C upper (that is, single static exposure).Then described substrate table WT is moved along X and/or Y-direction, make to expose to different target part C.In step mode, the full-size of exposure field has limited the size of the described target part C of imaging in single static exposure.
2. in scan pattern, when mask table MT and substrate table WT are synchronously scanned, the pattern of giving described radiation beam is projected to target part C upper (that is, single dynamic exposure).Substrate table WT can determine by (dwindling) magnification and the image inversion feature of described optical projection system PL with respect to speed and the direction of mask table MT.In scan pattern, the full-size of exposure field has limited the width (along non-direction of scanning) of the part of target described in single dynamic exposure, and the length of described scanning motion has been determined the height (along described direction of scanning) of described target part.
3. in another kind of pattern, by substantially static for keeping the mask table MT of programmable patterning device to remain, and when described substrate table WT is moved or scanned, the pattern of giving described radiation beam is projected on target part C.In this pattern, conventionally adopt impulse radiation source, and between the continuous radiation pulse after the movement each time of described substrate table WT or in scan period, upgrade as required described programmable patterning device.This operator scheme for example can be easy to be applied to utilize, in the maskless lithography art of programmable patterning device (, as mentioned above the array of programmable mirrors of type).
Also can adopt combination and/or the variant of above-mentioned use pattern, or diverse use pattern.
As shown in Figure 2, lithographic equipment LA forms a part of lithographic cell LC (sometimes also referred to as photoetching unit or photoetching bunch), and lithographic cell LC also comprises in order to carry out before exposure the equipment with post-exposure processes on substrate.Conventionally, these equipment comprise depositing the spinner SC of resist layer, in order to developer DE, chill plate CH and the bake plate BK of development of the resist to after exposure.Substrate conveying device or mechanical arm RO pick up substrate from I/O port I/O1, I/O2, then between different treatment facilities, move described substrate, and substrate are moved to the loading bay LB of lithographic equipment.These devices that are often referred to as track are under the control of track control module TCU, and described track control module TCU self is controlled by management control system SCS, and described management control system SCS also controls lithographic equipment via photoetching control module LACU.Therefore, different equipment can be operated to turnout and treatment effeciency to maximize.
For the substrate being exposed by lithographic equipment correctly and is as one man exposed, need check through the substrate of overexposure to measure character, such as the aliasing error between successive layers, live width, critical dimension (CD) etc.If the error of detecting, can adjust the exposure of successive substrates (if especially check can enough promptly be completed so that other substrates of same batch still when state to be exposed).And the substrate having exposed also can be stripped from and for example, by processing (, to improve productive rate) again, or abandoned, avoids exposing on the known substrate that has a defect thus.In the situation that only some targets of substrate partly exist defect, can only to those intact targets, partly further expose.
Inspection machine is for determining the character of substrate, and is particularly useful for determining how the character of the different layers of different substrates or same substrate changes layer by layer.It can be maybe independent device that inspection machine can be integrated in lithographic equipment LA or lithographic cell LC.In order to realize the most fast, measure, expectation inspection machine is measured immediately the character of the resist layer after exposure after exposure.Yet, sub-image in resist has low-down contrast, make resist by the part of radiant exposure and those refractive index very little difference only between the part of exposure not also, and not every inspection machine all has the useful measurement that sub-image is carried out in enough sensitivity.Therefore, measurement can be carried out by the baking procedure (PEB) after exposure afterwards, the first step that baking procedure after described exposure normally carries out on the substrate through overexposure, and increased resist through overexposure and without exposure part between contrast.In this stage, it is half potential that the image in resist can be called as.The resist image that also can develop to process is measured (exposed portion of resist or unexposed portion are removed at this moment), or measures after such as pattern transfer steps such as etchings.Rear a kind of possibility has limited defective substrate and has weighed fresh processed possibility, but still can provide Useful Information.
Fig. 3 illustrates scatterometer, and they can be for embodiments of the invention.Scatterometer comprises broadband (white light) tomographic projection device 2, its by tomographic projection to substrate W.The radiation of reflection passes to spectrometer detector 4, and spectrometer detector 4 is measured the spectrum 10 (intensity is the function of wavelength) of mirror-reflection radiation.According to these data, cause the structure of the spectrum detect or profile can pass through processing unit PU (for example, by rigorous couple-wave analysis and non-linear regression, or by with Fig. 3 bottom shown in simulated spectra compare) rebuild.Conventionally, for described reconstruction, the common form of described structure is known, and some parameters suppose according to the knowledge of the manufacture craft of described structure, only leaves some structural parameters and determines according to scatterometry data.This scatterometer can be configured to normal incidence scatterometer or oblique incidence scatterometer.
Can be for another scatterometer of the present invention as shown in Figure 4.In this device, the radiation of being sent by radiation source 2 adopts lens combination 12, by interference filter 13 and polarizer 17, is focused, and by 16 reflections of part reflecting surface and via the micro objective 15 for example, with high-NA (NA) (at least about 0.9 or at least about 0.95), focuses on substrate W.Immersion scatterometer even can have numerical aperture and surpass 1 lens.Then, the radiation transmission reflecting enters detecting device 18 by part reflecting surface 16, to detect scattering spectrum.Detecting device can be located in the rear projection pupil plane 11 at focal length place of lens combination 15, yet pupil plane can be alternatively with auxiliary optical element (not shown) reimaging on detecting device.Described pupil plane is that the radial position of radiation therein limits incident angle and azimuthal plane of position, angle restriction radiation.Described detecting device is two-dimensional detector, so that can measure the two-dimentional angle scattering spectrum of substrate target 30.In a kind of example, detecting device 18 is arrays of charge-coupled image sensor (CCD) or complementary metal oxide semiconductor (CMOS) (CMOS) sensor, and can adopt the integral time of 40 milliseconds of every frames for example.
With reference to bundle, be often used in the intensity of for example measuring incident radiation.For this reason, when radiation beam incides on beam splitter 16, a part of transmission of radiation beam is by described beam splitter, as advancing towards reference mirror 14 with reference to bundle.Then, described reference bundle is projected in the different piece of same detecting device 18.
One group of interference filter 13 is for example used in, as selected interested wavelength in the wavelength coverage of about 405-790nm or even less (about 200-300nm).Interference filter can be tunable, rather than comprises a different set of optical filter.Grating can be used to substitute interference filter.
Detecting device 18 can be measured the light intensity that is scattered in single wavelength place or narrow wavelength coverage, and described intensity lays respectively at a plurality of wavelength place, or described intensity is integrated in a wavelength coverage.And then detecting device can be measured transverse magnetic field and transverse electric field polarization light intensity and/or the phase differential between transverse magnetic field and transverse electric field polarized light respectively.
Can adopt the wideband light source (have the light frequency of wide region or wavelength and therefrom raw color) that provides the large latitude of emulsion, allow thus the mixing of a plurality of wavelength.Each wavelength in a plurality of wavelength on broadband has the bandwidth of Δ λ and the spacing of at least 2 Δ λ (being twice bandwidth).A plurality of radiation " source " can be the different pieces that has been used the expansion radiation source that fibre bundle cuts apart.By this way, angle-resolved scattering spectrum can be measured at a plurality of wavelength place concurrently.For example, can measure the three-dimensional spectrum (such as wavelength and two different angles) comprising than the more information of two-dimension spectrum.This allows more information measured, and this increases the robustness of measurement process.This,, at European patent No.1, describes in more detail in 628,164A, by reference to the document is incorporated herein in full.
Target 30 on substrate W can be the grating being printed, so that after developing, striped is formed by real resist line.Described striped can alternatively be etched in described substrate.This pattern is for the aberration in lithographic projection apparatus (especially optical projection system PL) and irradiate symmetry sensitivity, and the existence of this aberration will show himself variation in printed grating.Correspondingly, the scatterometry data of printed grating are used to rebuild grating.The parameter of grating (for example live width and linear) can be imported in process of reconstruction, and described process of reconstruction is realized according to the knowledge of print steps and/or other Scattering Measurement by processing unit PU.
In order to distinguish two groups (population) that are used in double patterning, need between two groups, introduce difference or asymmetry.At regular pattern shown in Fig. 5 a, wherein two faciations with and formation rule pattern.Yet, if there is little aliasing error between first group and second group, be difficult to detect, because Zero-order diffractive pattern (being used in most of scatterometry application) does not change substantially.The Strength Changes of zero order of diffraction pattern is illustrated in Fig. 6.As can be seen from Figure 6, for little aliasing error, the change of the caused diffraction pattern of given change of aliasing error is little (that is, near the gradient zero aliasing error is negligible).Yet for large aliasing error, the same given change of aliasing error, will cause the large change of diffraction pattern.Similarly, for example, if user wishes to assess other profile parameters, such as Sidewall angles or the critical dimension of a group in two groups, be difficult to distinguish two groups to assess their critical dimension or Sidewall angles.
Fig. 7 a and 7b illustrate according to the pattern of one embodiment of the invention exposure.Fig. 7 a illustrates main pattern, wherein has by first crowd of A and second group of single pattern that B forms.Yet, in the location of second group, there is little aliasing error OV.Fig. 7 b illustrates the target being used in the first embodiment of the present invention.Form first object group, then formed the second target complex.The second target complex has shifted by delta with respect to first object group.Like this, the second target complex equals described shifted by delta with respect to first object group's deviations and adds aliasing error OV.The asymmetry that Here it is introduces, it means determines that aliasing error is much easier.Detect Zero-order diffractive pattern, and be used for determining aliasing error with respect to the deviation of desired diffraction pattern.Alternatively, more easily distinguish two groups, measure thus two groups' characteristic, such as critical dimension or the Sidewall angles of each group.
Although used two groups to describe the above embodiments, that is, use two groups of LELE or the manufacture of LFLE process, also can be applied to equally the sept method of double patterning.Fig. 8 a and 8b show the sept method of double patterning according to an embodiment of the invention.In Fig. 8 a, sept 21 is for generating interval between resist 22 and the pattern of create-rule thus.Fig. 8 b illustrates the situation between sept 21 adjacent feature too little and therefore a group in office or any parameter with aliasing error OV.Therefore, the method for the above embodiments can be similarly for determining this aliasing error.By any characteristic of the feature expressly revising the size of sept and assess, those features of introducing such as the error of sept size, will introduce known skew.
Described skew can be any value, but should be less than the cycle of pattern.For example, for the pattern that the cycle is about 16nm, the skew of hope is about 5-10nm.
For the improved calculating of aliasing error, can there be a plurality of targets (for example, each target has the target complex of himself), each target has the skew of different introducings.
Another embodiment of the present invention is illustrated in Fig. 9.As can be seen, second crowd of B has than first group of critical dimension that A is larger.Again introduce this asymmetry and make the characteristic of more easily distinguishing two groups and assessing thus each group.Although Fig. 9 illustrates second group, there is larger critical dimension, yet it equally also can have less critical dimension or its optional other characteristics (such as the Sidewall angles changing).In fact, any characteristic that will affect Zero-order diffractive pattern can change, to produce such asymmetry.
Be similar to the first embodiment, can have a plurality of targets, each target has the critical dimension different from the second target complex.
Figure 10 illustrates a target complex according to an embodiment of the invention, has wherein introduced skew and the critical dimension of second group and has changed.This will more easily distinguish different groups and measure thus aliasing error and the characteristic of each group.
An also embodiment of the present invention is illustrated in Figure 11, another target complex shown in it.As can be seen, each the 3rd line lacks in second group.This also introduces asymmetry, makes more easily to distinguish two groups.
As discussed above, this embodiment relates to asymmetry is introduced in target complex.More than enumerated the concrete example of asymmetry such as disappearance line, skew and cd variations, yet any method of introducing asymmetry is all applicable to.Other examples of asymmetry between two groups are that the height of second group is different from the height of first group.Alternatively, different materials can be for different groups.In addition, the invention is not restricted to only use two groups, when thering is three or more groups, can apply the present invention equally.
Although being described in detail in detail in this article, lithographic equipment is used in manufacture IC, but should be understood that lithographic equipment described here can have other application, such as the guiding of manufacturing integration optical system, magnetic domain memory and check pattern, flat-panel monitor, liquid crystal display (LCDs), thin-film head etc.One skilled in the art would recognize that in the situation of this alternate application, any term used herein " wafer " or " tube core " can be thought respectively and more upper term " substrate " or " target part " synonym.Here the substrate of indication can be processed before or after exposure, for example, in track (a kind of typically resist layer is coated onto on substrate, and the instrument that the resist having exposed is developed), measuring tool and/or the instruments of inspection.In applicable situation, described disclosure can be applied in this and other substrate processing instruments.In addition, more than described substrate can be processed once, for example, for producing multilayer IC, make described term used herein " substrate " also can represent to have comprised the substrate of a plurality of processed layers.
Although the application of embodiments of the invention in optical lithography has been described in detail in detail above, should be noted that, the present invention can have other application, and for example imprint lithography, and the situation of needing only allows, and is not limited to optical lithography.In imprint lithography, the topology in patterning device defines the pattern producing on substrate.The topology of described patterning device can be printed onto in the resist layer that offers described substrate, thereon by applying electromagnetic radiation, heat, pressure or it combines to make described resist to solidify.After described resist solidifies, described patterning device is removed from described resist, and leaves pattern in resist.
The electromagnetic radiation that term used herein " radiation " and " bundle " comprise all types, comprise: ultraviolet (UV) radiation (for example have approximately 365,355,248,193,157 or the wavelength of 126nm) and extreme ultraviolet (EUV) radiation (for example, there is the wavelength within the scope of 5-20nm), and the particle beams, such as ion beam or electron beam.
In the situation that situation allows, term " lens " can be thought any of all kinds optics or combine, comprise refraction, reflection, magnetic, electromagnetism and electrostatic optics parts.
Although below described specific embodiments of the invention, should be realized that, the present invention can be to realize from above-mentioned different mode.For example, the present invention can adopt and comprise for describing a kind of as form of the computer program of one or more sequence of machine-readable instruction of disclosed method above, or has the form of the data storage medium (for example semiconductor memory, disk or CD) of storage described computer program wherein.
Conclusion
It should be understood that " embodiment " partly rather than " summary of the invention " and " summary " part be used for explaining claim." summary of the invention " and " summary " part can be described the exemplary embodiment of the present invention that one or more but not every inventor expects, so these two parts do not limit the present invention in any way and claims.
The function of implementing concrete function and relation thereof by illustrating is held the help of piece, has more than described the present invention.The boundary that these functions are held piece is at random limited in this article, to facilitate description.Can limit optional boundary, as long as concrete function and relation thereof are correctly implemented.
The aforementioned description of specific embodiment will fully disclose general aspects of the present invention, make by the knowledge in application this area, do not need too much experiment, the various application that other people just can easily revise and/or transform these specific embodiments in the situation that not departing from universal of the present invention.Therefore, the instruction based on given in literary composition and guiding, such transformation and being modified in the meaning and scope of equivalent of the disclosed embodiments.It should be understood that word and term in literary composition are in order to describe rather than for limiting, the word of this instructions and term to be explained by those of ordinary skills according to instruction and guiding.
Range of the present invention and scope should can't help in above-mentioned exemplary embodiment any limit, and should only according to appended claim and equivalent thereof, limit.

Claims (24)

1. one kind for determining the method for the characteristic of first group of feature on substrate or second group, nominally form single pattern in described first group and second group of identical and individual layer on substrate, the cycle of described pattern equals the feature of described first group and the distance between the nearest feature of described second group, and described method comprises step:
On described substrate, form first group, described first group comprises first object group;
On described substrate, form second group, described second group comprises the second target complex, and described the second target complex and described first object group form the target complex of combination;
Detection is from the radiation of the target complex reflection of described combination; And
The characteristic of described first group or described second group is calculated in use from the radiation of described target reflection,
Wherein said the second target complex has the asymmetry with respect to described first object group, wherein, described asymmetry comprises: the distance between described first object group's feature and the nearest feature of described the second target complex target equals the described cycle and deducts skew, and wherein the critical dimension of second group changes.
2. method according to claim 1, wherein, described asymmetry also comprises: described the first multiple targets or described the second multiple targets are got rid of every n feature, and wherein n is greater than 1 Finite Number.
3. method according to claim 1, wherein, described characteristic is the critical dimension of first or second group.
4. method according to claim 1, wherein, described characteristic is the positioning error of described second group.
5. method according to claim 1, wherein, described characteristic is the Sidewall angles of first group or second group.
6. method according to claim 1, wherein, described first object group is the first first object group, described the second target complex is the one the second target complexes, described first group also comprises the second first object group, described second group also comprises the two the second target complexes, and the two the second target complexes are different from the one the second target complexes with respect to the first first object group's skew with respect to the second first object group's skew.
7. method according to claim 1, wherein, described first object group is the first first object group, described the second target complex is the one the second target complexes, described first group also comprises the second first object group, described second group also comprises the two the second target complexes, and the two the second target complexes are different from the one the second target complexes with respect to the first first object group's critical dimension with respect to the second first object group's critical dimension.
8. method according to claim 1, wherein, described asymmetry comprises: the height of described second group is different from the height of described first group.
9. method according to claim 1, wherein, described asymmetry comprises: by the different material of the material from described first group, made for described second group.
10. method according to claim 1, wherein, the step that forms described first group comprises the step of the described substrate that exposes and the step of the described substrate of processing, and the step that forms described second group comprises the second step of the described substrate that exposes and the second step of the described substrate of processing.
11. methods according to claim 1, wherein, form the step that the step of described first group comprises the step of the described substrate that exposes and freezes described substrate, the step that forms described second group comprises the second step of the described substrate that expose and the second step of the described substrate of processing.
12. methods according to claim 1, wherein, form described first group and carry out with described second group of formation simultaneously.
13. 1 kinds of methods, comprise step:
On substrate, produce first group, described first group comprises first object group;
On substrate, produce second group, described second group comprises the second target complex;
Generation comprises the composite object group of first object group and the second target complex;
Detection is from the radiation of composite object group reflection; And
Use respectively the characteristic of determining first group or second group from the radiation of first object group or the reflection of the second target complex;
Wherein first group forms single pattern in consistent and simple layer on substrate substantially with second group, and the cycle of described single pattern equals the feature of first group and the distance between the nearest feature of second group, and
Wherein the second target complex has the asymmetry with respect to first object group,
Wherein, described asymmetry comprises: the distance between described first object group's feature and the nearest feature of described the second target complex target equals the described cycle and deducts skew, and wherein the critical dimension of second group changes.
14. methods according to claim 13, wherein, described asymmetry comprises: described first object group or described the second target complex are got rid of every n feature, and wherein n is greater than 1 Finite Number.
15. methods according to claim 13, wherein, described characteristic is the critical dimension of first or second group.
16. methods according to claim 13, wherein, described characteristic is the positioning error of described second group.
17. methods according to claim 13, wherein, described characteristic is the Sidewall angles of first group or second group.
18. methods according to claim 13, wherein,
Described first object group is first first object group, and described the second target complex is first second target complex, and described first group also comprises second first object group;
Described second group also comprises second the second target complex; And
Second the second target complex is different from first second target complex with respect to first first object group's skew with respect to second first object group's skew.
19. methods according to claim 13, wherein,
Described first object group is first first object group, and described the second target complex is first second target complex, and described first group also comprises second first object group;
Described second group comprises second the second target complex; And
Second the second target complex is different from first second target complex with respect to first first object group's critical dimension with respect to second first object group's critical dimension.
20. methods according to claim 13, wherein, described asymmetry comprises: the height of described second group is different from the height of described first group.
21. methods according to claim 13, wherein, described asymmetry comprises: by the different material of the material from described first group, made for described second group.
22. methods according to claim 13, wherein,
The step that forms described first group comprises:
The described substrate that exposes,
Process described substrate; And
The step that forms described second group comprises:
The substrate that exposure was processed.
23. methods according to claim 13, wherein,
The step that forms described first group comprises:
The described substrate that exposes, and
Freeze described substrate; And
The step that forms described second group comprises:
Described substrate exposes.
24. methods according to claim 13, wherein, form described first group and substantially carry out with described second group of formation simultaneously.
CN200980152725.XA 2008-12-30 2009-12-21 Method of determining characteristic Expired - Fee Related CN102265220B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14141408P 2008-12-30 2008-12-30
US61/141,414 2008-12-30
PCT/EP2009/067620 WO2010076254A1 (en) 2008-12-30 2009-12-21 Method of determining a characteristic

Publications (2)

Publication Number Publication Date
CN102265220A CN102265220A (en) 2011-11-30
CN102265220B true CN102265220B (en) 2014-03-12

Family

ID=41785638

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980152725.XA Expired - Fee Related CN102265220B (en) 2008-12-30 2009-12-21 Method of determining characteristic

Country Status (7)

Country Link
JP (1) JP5525547B2 (en)
KR (1) KR101330116B1 (en)
CN (1) CN102265220B (en)
IL (1) IL213064A (en)
NL (1) NL2003990A (en)
TW (1) TWI467346B (en)
WO (1) WO2010076254A1 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102540781B (en) * 2010-12-28 2015-09-30 上海微电子装备有限公司 A kind of backside alignment device and method
JP5760566B2 (en) * 2011-03-23 2015-08-12 ソニー株式会社 Optical element, optical system, imaging device, optical apparatus, and master
NL2009294A (en) 2011-08-30 2013-03-04 Asml Netherlands Bv Method and apparatus for determining an overlay error.
US9330221B2 (en) * 2014-05-23 2016-05-03 Globalfoundries Inc. Mask-aware routing and resulting device
EP3451060A1 (en) * 2017-08-28 2019-03-06 ASML Netherlands B.V. Substrate, metrology apparatus and associated methods for a lithographic process

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101202268A (en) * 2006-09-08 2008-06-18 Asml荷兰有限公司 Semiconductor device for measuring an overlay error, method for measuring an overlay error, lithographic apparatus and device manufacturing method
CN101231472A (en) * 2007-01-22 2008-07-30 Asml荷兰有限公司 Method of measurement, an inspection apparatus and a lithographic apparatus
CN101320206A (en) * 2007-06-08 2008-12-10 旺宏电子股份有限公司 Overlapping mark and uses thereof

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6433878B1 (en) * 2001-01-29 2002-08-13 Timbre Technology, Inc. Method and apparatus for the determination of mask rules using scatterometry
US6772084B2 (en) * 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7981595B2 (en) * 2005-03-23 2011-07-19 Asml Netherlands B.V. Reduced pitch multiple exposure process
US20080036984A1 (en) * 2006-08-08 2008-02-14 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7532331B2 (en) * 2006-09-14 2009-05-12 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
JP4871786B2 (en) * 2007-05-11 2012-02-08 東京応化工業株式会社 Pattern formation method
US7911612B2 (en) * 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101202268A (en) * 2006-09-08 2008-06-18 Asml荷兰有限公司 Semiconductor device for measuring an overlay error, method for measuring an overlay error, lithographic apparatus and device manufacturing method
CN101231472A (en) * 2007-01-22 2008-07-30 Asml荷兰有限公司 Method of measurement, an inspection apparatus and a lithographic apparatus
CN101320206A (en) * 2007-06-08 2008-12-10 旺宏电子股份有限公司 Overlapping mark and uses thereof

Also Published As

Publication number Publication date
JP2012516027A (en) 2012-07-12
KR20110110263A (en) 2011-10-06
JP5525547B2 (en) 2014-06-18
TWI467346B (en) 2015-01-01
WO2010076254A1 (en) 2010-07-08
IL213064A0 (en) 2011-07-31
CN102265220A (en) 2011-11-30
KR101330116B1 (en) 2013-11-15
TW201040669A (en) 2010-11-16
IL213064A (en) 2016-03-31
NL2003990A (en) 2010-07-01

Similar Documents

Publication Publication Date Title
CN102171618B (en) Lithographic focus and dose measurement using a 2-D target
CN101819384B (en) Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method
CN101978255B (en) A method of assessing a model of a substrate, an inspection apparatus and a lithographic apparatus
CN102460310B (en) Method of overlay measurement, lithographic apparatus, inspection apparatus, processing apparatus and lithographic processing cell
JP5412528B2 (en) Inspection method, inspection system, substrate, and mask
JP4778021B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell, and device manufacturing method
CN102422226B (en) Method of determining overlay error
JP4767924B2 (en) Method and apparatus for characterization of angle-resolved spectroscopic lithography
CN102422227B (en) Inspection method for lithography
JP5288808B2 (en) Measuring method, inspection apparatus and lithography apparatus
CN102576188B (en) Method, inspection apparatus and substrate for determining an approximate structure of an object on the substrate
JP4672704B2 (en) Method of measuring substrate overlay error, substrate manufacturing method, and inspection apparatus
JP4980264B2 (en) Inspection method, device manufacturing method, inspection apparatus, substrate, mask, lithographic apparatus, and lithography cell
JP4751411B2 (en) How to measure overlay
JP4965376B2 (en) Substrate, inspection apparatus, inspection method, lithographic apparatus, and lithography cell
CN102027416B (en) Inspection apparatus for lithography
CN111316172A (en) Metrology apparatus and method of determining a property of interest
US20110028004A1 (en) Inspection Method and Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Device Manufacturing Method
US20100328636A1 (en) Producing a Marker Pattern and Measurement of an Exposure-Related Property of an Exposure Apparatus
CN102265220B (en) Method of determining characteristic
NL1036683A1 (en) Focus sensor, inspection apparatus, lithographic apparatus and control system.

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20140312

Termination date: 20201221

CF01 Termination of patent right due to non-payment of annual fee