CN102150084B - Radiation source, lithographic apparatus, and device manufacturing method - Google Patents

Radiation source, lithographic apparatus, and device manufacturing method Download PDF

Info

Publication number
CN102150084B
CN102150084B CN200980131716.2A CN200980131716A CN102150084B CN 102150084 B CN102150084 B CN 102150084B CN 200980131716 A CN200980131716 A CN 200980131716A CN 102150084 B CN102150084 B CN 102150084B
Authority
CN
China
Prior art keywords
radiation
extreme ultraviolet
spectral purity
purity filter
collateral
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200980131716.2A
Other languages
Chinese (zh)
Other versions
CN102150084A (en
Inventor
M·J·J·杰克
W·A·索尔
M·M·J·W·范赫彭
V·Y·班尼恩
A·M·雅库尼恩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN102150084A publication Critical patent/CN102150084A/en
Application granted granted Critical
Publication of CN102150084B publication Critical patent/CN102150084B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/702Reflective illumination, i.e. reflective optical elements other than folding mirrors, e.g. extreme ultraviolet [EUV] illumination systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70575Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength or matching of optical components to wavelength
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/10Scattering devices; Absorbing devices; Ionising radiation filters

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Optical Filters (AREA)

Abstract

A spectral purity filter is configured to allow transmission therethrough of extreme ultraviolet (EUV) radiation and to refract or reflect non-EUV secondary radiation. The spectral purity filter may be part of a source module and/or a lithographic apparatus.

Description

Radiation source, lithographic equipment and device making method
Related application with reference to quoting
The application requires the rights and interests of the U.S. Provisional Application 61/136,150 submitted on August 14th, 2008, and it is by reference to being incorporated in full.
The application requires the rights and interests of the U.S. Provisional Application 61/136,983 submitted on October 20th, 2008, and it is by reference to being incorporated in full.
The application requires the rights and interests of the U.S. Provisional Application 61/079,975 submitted on July 11st, 2008, and it is by reference to being incorporated in full.
Technical field
The present invention relates to a kind of spectral purity filter, for radiation source, lithographic equipment and the device making method of extreme ultraviolet (EUV) radiation lithographic equipment.
Background technology
Lithographic equipment is a kind of required pattern to be applied on substrate, normally the machine in the target part of substrate.For example, lithographic equipment can be used in the manufacture of integrated circuit (IC).In this case, can be by the patterning device that is called alternatively mask or mask for generating the circuit pattern on the individual layer of described IC to be formed.This design transfer for example can be arrived, in for example, target part (, comprising a part of tube core, one or more tube core) on substrate (, silicon wafer).The transfer of described pattern normally realizes on radiation-sensitive materials (resist) layer being provided on substrate by pattern is imaged onto.Conventionally, single substrate is by the network of the adjacent target part that comprises continuous formation pattern.Known lithographic equipment comprises: stepper, in stepper, by exposing an entire pattern onto described target each the target part of radiation of partly coming up; And scanner, in described scanner, by radiation beam, along assigned direction (" scanning " direction), scan described pattern, simultaneously along direction parallel or antiparallel with this direction, synchronously scan described substrate and carry out each target part of radiation.
For less structure being projected on substrate, proposed to use extreme ultraviolet radiation (EUV), described extreme ultraviolet radiation is to have within the scope of 10-20nm, for example the electromagnetic radiation of the wavelength within the scope of 13-14nm.In addition, also propose to use wavelength to be less than the extreme ultraviolet radiation of 10nm, for example within the scope of 5-10nm (for example 6.7nm or 6.8nm).
Can use plasma generation radiation.For example, by guiding laser for example, to the particle place of suitable material (tin) or by guiding laser for example, to the line place of suitable gas or steam (xenon or lithium steam), can produce plasma.Final plasma emission output radiation, for example EUV radiation, is used gatherer (for example catoptron incident gatherer) to collect described output radiation, wherein said gatherer received radiation and described radiation is focused on to bunchy.This radiation source is commonly referred to laser-produced plasma (LPP) source.
Except radiation, the pollutant of the plasma generation particle form of plasma source, for example thermalization atom, ion, nanocluster and/or particulate.Pollutant is exported towards gatherer from radiation source together with the radiation of wanting, and can cause the damage to incident gatherer and/or miscellaneous part.
Except the radiation of wanting, radiation source also may be exported collateral radiation.Except the extreme ultraviolet radiation of wanting, for example, extreme ultraviolet plasma radiation source may be exported collateral radiation, and this collateral radiation has the wavelength that is selected from 20-400nm scope, most particularly has the wavelength of deep ultraviolet scope (100-400nm).In addition, collateral radiation may comprise EUV radiation, specifically, the extreme ultraviolet radiation of specific wavelength or wavelength coverage is wanted, and other EUV radiation are not to have the EUV radiation of wanting of specific wavelength or are not the EUV radiation of wanting with particular range of wavelengths in output radiation.This collateral radiation may be owing to generating for generating the laser of plasma in LPP radiation source, and this laser emission has the wavelength longer than extreme ultraviolet radiation and (is generally from CO 2the wavelength radiation of 10.6 μ m of laser instrument).
In photoetching technique, expectation improves spectral purity, from output bundle, removes collateral radiation with the radiation of wanting of output higher proportion.For example, the wavelength sensitive of resist to collateral radiation, and therefore image quality may be by deteriorated.For example, because the optical element of extreme ultraviolet photolithographic equipment has high reflectivity (, for the situation of the collateral radiation of 10.6 mum wavelengths from LPP source), therefore, collateral radiation can arrive substrate with sizable power.Additionally or alternatively, collateral radiation, especially laser emission in LPP radiation source, can cause the undesired intensification of patterning device, substrate and/or optical element.
Therefore, expectation provides for example a kind of spectral purity filter for using or use together with radiation source at radiation source, wherein can completely or partially remove collateral radiation and/or effectively reduce pollutant.
Summary of the invention
According to an aspect of the present invention, provide a kind of spectral purity filter, be configured to allow extreme ultraviolet (EUV) radiation transmission by wherein and refraction or reflect non-extreme ultraviolet collateral radiation.
In one embodiment, in the material of spectral purity filter, limit at least one hole, described hole have the wavelength that is greater than the wavelength of extreme ultraviolet radiation and is less than non-extreme ultraviolet collateral radiation across size.The transmission of extreme ultraviolet radiation can be improved at least one hole, but does not substantially change the optical property of material to non-extreme ultraviolet collateral radiation.
In one embodiment, the non-extreme ultraviolet collateral radiation of described material transmission.This can be favourable, because non-extreme ultraviolet collateral radiation heating material not.
In an embodiment of spectral purity filter, described material forms optically active body, it provides the transformation to the effective refractive index of non-extreme ultraviolet collateral radiation, and extend along first direction in described at least one hole, and described transformation has main turning departing from the direction of described first direction.In the direction that the transformation of the effective refractive index of non-extreme ultraviolet collateral radiation is being departed to described first direction, there is main turning, follow non-extreme ultraviolet radiation to depart from the main direction by the definite extreme ultraviolet radiation of the first direction at least one hole.
According to an aspect of the present invention, provide a kind of for lithographic equipment or the source module that uses together with lithographic equipment, described source module is configured to produce extreme ultraviolet (EUV) radiation output stage UV radiation and non-extreme ultraviolet collateral radiation, and described source module comprises above-mentioned spectral purity filter.
An embodiment of source module is configured to generated radiation to assemble to intermediate focus, spectral purity filter is arranged in a position of optical path, wherein optical purity optical filter receives the radiation generate and before intermediate focus, and aligns in the direction of propagation of the radiation that generates with convergence of described at least one hole of wherein said spectral purity filter.Herein, at least one hole in spectral purity filter cooperates with the equipment that generates collected radiation, to realize the optimization output quantity of extreme ultraviolet radiation.
According to an aspect of the present invention, provide a kind of lithographic equipment, be arranged to pattern to be projected to substrate from patterning device, described lithographic equipment comprises above-mentioned spectral purity filter and/or above-mentioned source module.
According to an aspect of the present invention, a kind of device making method is provided, comprise the extreme ultraviolet of patterning (EUV) radiation beam is projected on substrate, wherein said extreme ultraviolet radiation is by above-mentioned spectral purity filter filtration, by above-mentioned source module generation or by above-mentioned lithographic equipment projection.
According to an aspect of the present invention, provide a kind of device making method, comprise and use radiation source to generate the radiation that comprises extreme ultraviolet (EUV) radiation and non-extreme ultraviolet collateral radiation; Filter radiation is to allow transmission extreme ultraviolet radiation and reflect or reflect non-extreme ultraviolet collateral radiation; With the bundle of the patterning of the extreme ultraviolet radiation of transmission is projected on substrate.
According to an aspect of the present invention, provide a kind of lithographic equipment, comprising: source module, described source module is configured to generate extreme ultraviolet (EUV) radiation output stage UV radiation and non-extreme ultraviolet collateral radiation; Spectral purity filter, it is configured to allow extreme ultraviolet radiation transmission by wherein and reflect or reflect non-extreme ultraviolet collateral radiation; And optical projection system, be configured to the radiation beam that comprises extreme ultraviolet radiation of patterning to be projected on substrate.
Accompanying drawing explanation
Only by way of example, with reference to accompanying drawing, embodiments of the invention are described below, wherein in schematic figures, corresponding mark represents corresponding parts, in the accompanying drawings:
Fig. 1 schematically illustrates lithographic equipment according to an embodiment of the invention;
Fig. 2 illustrates the detailed maps of lithographic equipment according to an embodiment of the invention;
Fig. 3 schematically illustrates radiation source according to an embodiment of the invention;
Fig. 4 schematically illustrates the spectral purity filter according to an embodiment of the invention with radiation resistance block body;
Fig. 5 A schematically illustrates the vertical view of the spectral purity filter of N indication in Fig. 4;
Fig. 5 B illustrates the xsect shown in X-X in Fig. 5 A;
Fig. 6 A schematically illustrates negative lens;
Fig. 6 B schematically illustrates the positive lens being positioned at before intermediate focus, and it can leave focus so that collateral radiation changes direction;
Fig. 7 schematically illustrates the angle being produced by lens and launches;
Fig. 8 A schematically illustrates the xsect of ordinary lens array;
Fig. 8 B schematically illustrates and is installed with a plurality of holes to form the lens arra of spectral purity filter;
Fig. 9 A schematically illustrates traditional convex lens;
Fig. 9 B schematically illustrates Fresnel Lenses;
Figure 10 A schematically illustrates the deflection of the radiation of using prism and pyramid-shaped structures;
Figure 10 B schematically illustrates the reflection of the radiation of using prism and pyramid-shaped structures;
Figure 11 A schematically illustrates the xsect of the optical axis of scioptics;
Figure 11 B schematically illustrates sectional hole patterns N indication in Figure 11 A, that change to produce the example of the gradient of effective refractive index; With
Figure 12 schematically illustrates the different situations of being alignd with incident radiation in the hole of spectral purity filter.
Specific embodiment
Fig. 1 schematically shows lithographic equipment according to an embodiment of the invention.Described equipment comprises: irradiation system (irradiator) IL, it is configured for and regulates radiation beam B.Described equipment also comprises: supporting construction (for example mask platform) MT, it is configured to support patterning device (for example mask) MA, and be configured for the first locating device PM that accurately locates patterning device according to specific parameter and be connected; Substrate table (for example wafer station) WT, it is configured to keep substrate (being for example coated with the wafer of resist) W, and be configured for according to specific parameter accurately the second locating device PW of position substrate be connected; And optical projection system (for example refraction type or reflection type projection lens combination) PS, it is disposed for the pattern of being given radiation beam B by patterning device MA for example to project to, on the target part C (comprising one or more tube core) of substrate W.
Irradiation system can comprise various types of opticses, and for example optics of refractive, reflection-type, magnetic type, electromagnetic type, electrostatic or other type or its combination in any, to guide, to be shaped or to control radiation.
Described supporting construction MT to depend on the direction of patterning device, the design of lithographic equipment and keep patterning device such as the mode whether patterning device remains on medium other conditions of vacuum environment.Described supporting construction MT can adopt machinery, vacuum, static or other clamping technology maintenance patterning device.Described supporting construction MT can be framework or platform, and for example, it can become fixing or movably as required.Described supporting construction MT can guarantee that patterning device is positioned at (for example, with respect to optical projection system) on desired position.Term used herein " mask " or " mask " can be regarded as and more upper term " patterning device " synonym.
Here the term that used " patterning device " should be broadly interpreted as and represent can be used in by pattern, on the xsect of radiation beam, to give radiation beam to form any device of pattern in the target part of substrate.It should be noted, giving the pattern of radiation beam may be not and required pattern in the target part of substrate accurately identical (for example,, if pattern comprises phase shift feature or so-called supplemental characteristic).Conventionally, the pattern that is endowed radiation beam is corresponding by the specific functional layer in the device with forming in target part, for example integrated circuit.
Patterning device can be reflective.The example of patterning device comprises mask, array of programmable mirrors and liquid crystal display able to programme (LCD) panel.Mask is known in photolithography, and comprises the mask-type such as binary mask type, Alternating phase-shift mask type, attenuation type phase shifting mask type and various hybrid mask types.The example of array of programmable mirrors adopts the matrix arrangements of small reflector, and each small reflector can tilt independently, to reflect the radiation beam of incident along different directions.The described catoptron having tilted is given the radiation beam by described catoptron matrix reflection by pattern.
Term used herein " optical projection system " can broadly be interpreted as comprising the optical projection system of any type, comprise refractive, reflection-type, reflection-refraction type, magnetic type, electromagnetic type and electrostatic optical systems or its combination in any, as for used exposing radiation was applicable to.Term used herein " projecting lens " can be thought and more upper term " optical projection system " synonym.
As shown in the figure, described equipment is reflection type (for example adopting reflection mask).
Described lithographic equipment can be the type with two (two platforms) or more substrate tables (and/or two or more patterning device platform).In this " many " machine, can use concurrently additional platform, or when can carry out preliminary step on one or more platform, by one or more other for exposure.
With reference to Fig. 1, described irradiator IL receives the radiation beam sending from radiation source S O.This source SO and described lithographic equipment can be that (for example work as source is CO to discrete entity 2laser instrument).In this case, this source can be considered to a part that forms lithographic equipment, and by the help of bundle transmission system BD, described radiation beam is passed to described irradiator IL from described source SO, bundle transmission system BD comprises for example suitable directional mirror and/or beam expander.In other cases, described source can be the ingredient of described lithographic equipment.If be called radiating system together with the described bundle transmission system can be by described source SO arranging with described irradiator IL and while needing
Described irradiator IL can comprise for adjusting the adjuster of the angle intensity distributions of described radiation beam.Conventionally, can adjust at least described outside of the intensity distributions in the pupil plane of described irradiator IL and/or inner radial scope (being generally called σ-outside and σ-inside).In addition, described irradiator IL can comprise various other parts, for example integrator and condenser.Described irradiator can be used for regulating described radiation beam, to there is required homogeneity and intensity distributions in its xsect.
It is upper that described radiation beam B incides described patterning device (for example, the mask) MA for example remaining on, on supporting construction (, mask platform) MT, and form pattern by described patterning device., through after patterning device MA, described radiation beam B is by optical projection system PS, and described optical projection system focuses on radiation beam on the target part C of described substrate W.By the second locating device PW and position transducer IF2 (for example, interferometric device, linear encoder or capacitive transducer) help, can accurately move described substrate table WT, for example, to different target part C is positioned in the path of described radiation beam B.Similarly, for example, after the machinery from mask storehouse obtains, or in scan period, can be by described the first locating device PM and another position transducer IF1 for accurately locating patterning device MA with respect to the path of described radiation beam B.Conventionally, can be by forming the long stroke module (coarse positioning) of a part of described the first locating device PM and the movement that the help of short stroke module (fine positioning) realizes supporting construction MT.Similarly, can adopt the long stroke module of a part that forms described the second locating device PW and the movement that short stroke module realizes described substrate table WT.The in the situation that of stepper (contrary with scanner), supporting construction MT can only be connected with short-stroke actuator, maybe can fix.Can come aligned pattern to form device MA and substrate W with patterning device alignment mark M1, M2 and substrate alignment mark P1, P2.Although shown substrate alignment mark has occupied application-specific target part, in the space that they can be between target part (these are known as line alignment mark).Similarly, in the situation that more than one tube core is arranged on patterning device MA, described patterning device alignment mark can be between described tube core.
Can by shown in equipment at least one of following pattern:
1. in step mode, supporting construction MT and substrate table WT are remained substantially static in, the whole pattern of giving described radiation beam is once projected to target part C upper (that is, single static exposure).Then described substrate table WT is moved along X and/or Y-direction, make to expose to different target part C.In step mode, the full-size of exposure field has limited the size of the described target part C of imaging in single static exposure.
2. in scan pattern, when supporting construction MT and substrate table WT are synchronously scanned, the pattern of giving described radiation beam is projected to target part C upper (that is, single dynamic exposure).Substrate table WT can determine by (dwindling) magnification and the image inversion feature of described optical projection system PS with respect to speed and the direction of supporting construction MT.In scan pattern, the full-size of exposure field has limited the width (along non-direction of scanning) of the part of target described in single dynamic exposure, and the length of described scanning motion has been determined the height (along described direction of scanning) of described target part.
3. in another pattern, by substantially static for keeping the supporting construction MT of programmable patterning device to remain, and when described substrate table WT is moved or scanned, the pattern of giving described radiation beam is projected on target part C.In this pattern, conventionally adopt impulse radiation source, and between the continuous radiation pulse after the movement each time of described substrate table WT or in scan period, upgrade as required described programmable patterning device.This operator scheme for example can be easy to be applied to utilize, in the maskless lithography art of programmable patterning device (, as mentioned above the array of programmable mirrors of type).
Also can adopt combination and/or the variant of above-mentioned use pattern, or diverse use pattern.
Fig. 2 illustrates in greater detail the equipment in Fig. 1, comprises radiation source S O, illumination optical cell IL and optical projection system PL.Radiation source S O comprises radiation transmitter 2, and it can comprise discharge plasma.By gases such as xenon or lithium steam or steam, can produce extreme ultraviolet radiation, in gas or steam, produce very hot plasma to be transmitted in the radiation within the scope of the extreme ultraviolet radiation of electromagnetic spectrum.By causing that the discharge plasma of partial ionization destroys on optical axis O, produces very hot plasma.In order effectively to generate radiation, the dividing potential drop of for example 10Pa of xenon or lithium steam or any other suitable gas or steam is expected.In some embodiments, can use tin.The radiation of launching by radiation transmitter 2 enters into collector chamber 4 from 3 outgoing of chamber, source.In one embodiment, radiation source S O comprises chamber, source 3 and collector chamber 4.
Collector chamber 4 comprises contaminant trap 5 and glancing incidence gatherer 6 (being schematically depicted as rectangle in figure).Allow radiation virtual source point 8 focusing with the place, hole in collector chamber 4 by 7 reflections of grating spectrum optical filter by gatherer 6.Leave collector chamber 4, radiation beam 9 reflexes to via the first and second normal incidence reverberators 10,11 patterning device (for example mask) being positioned on supporting construction MT and locates in illumination optical cell IL.Form the bundle 12 of patterning, its in optical projection system PL via the first and second reflecting element 13,14 imagings to the substrate (not shown) remaining on substrate table WT.In illumination optical cell IL and optical projection system PL, can exist than the many elements that illustrate.At source module SO, comprise that, in the embodiment in laser-produced plasma (LPP) source, gatherer can suitably be set to the glancing incidence gatherer 6 shown in normal incidence gatherer rather than Fig. 2.
Fig. 3 schematically shows radiation source according to an embodiment of the invention.Radiation source module SO can comprise container 20, and container arrangement becomes to have the target material 22 of liquefaction, for example, have tin or gadolinium (Gd).Container 20 can be furnished with suitable mechanism or opening (not shown), and for liquid drop 24a, the 24b, 24c, the 24d that discharge tin or gadolinium, to region 26, the laser beam 28 that wherein droplet configuration becomes to be provided by laser instrument 30 is irradiated.Laser beam 28 can be the CO with 10.6 micron wave lengths 2laser.Alternatively, can use other suitable laser in 1-11 micrometer range with respective wavelength.Desirably, use suitable optical system (not shown), laser beam is focused in region 26.By interacting with laser beam, drop 24a, 24b, 24c, 24d are converted into the plasmoid that can launch 6.7nm radiation or any other extreme ultraviolet radiation within the scope of 5-20nm.
The extreme ultraviolet bundle 32 of transmitting can be blocked by reducing system 34 such as suitable fragments such as contaminant trap 5, and fragment reduces the granular debris that system 34 is configured to collection or deflection 26 transmittings from region.The extreme ultraviolet bundle 32a of essentially no fragment enters radiation source or lithographic equipment optical system 36 below subsequently, for example, be configured to suitably regulate the irradiation system IL of the lithographic equipment of restrainting 32a.Radiation source S O can comprise and the crew-served buffer gas in laser-produced plasma source.Buffer gas can have the transmission at least 50% of extreme ultraviolet radiation, and at least 70% of collateral radiation absorption.Desirably, buffer gas has the transmission at least 90% of extreme ultraviolet radiation or at least 95%.Also desirably, buffer gas has the absorption at least 90% of collateral radiation.
In order to improve spectral purity, can use for extreme ultraviolet source or the spectral purity filter that uses together with extreme ultraviolet source.Because nearly all material all absorbs extreme ultraviolet radiation, so problem is how to form the high inhibition (for example, the factor 10 or higher) and the spectral purity filter to the high transmission of extreme ultraviolet radiation that have collateral radiation.Additionally or alternatively, if spectral purity filter is the principle of the absorption based on collateral radiation, the problem of generation is how to reduce to act on the heat load on spectral purity filter.
Can use transmitted spectrum purity optical filter, wherein transmission extreme ultraviolet radiation and deflection or absorption collateral radiation (for example 10.6 mum wavelength radiation).For example, can provide spectral purity filter, its transmission extreme ultraviolet and collateral radiation, and by seeing through the direction of the diffraction change collateral radiation of phase grating.Subsequently, can stop collateral radiation by wherein having the main body in hole, extreme ultraviolet radiation is by this hole, with avoid and spectral purity filter between heat problem.Yet, with this phase grating, be difficult to obtain very high diffraction efficiency, because it relates to geometric configuration or geometry adjusting very accurately with respect to wavelength.May for very narrow wavelength coverage and incident angle, be only also effective.
As another example, can use the structurized metal film with a plurality of holes.If hole is significantly less than the wavelength of radiation, the transmission of radiation will be little.If boring ratio radiation wavelength is much larger, transmissivity will be proportional with aperture area.For example for example, the hole across size (diameter) of 1-5 μ m is enough little of to stop the radiation of 10.6 μ m, simultaneously enough greatly in order to transmission extreme ultraviolet radiation.Replace having the two-dimensional structure in hole, can use the wiregrating of one dimension.In the polarity of this grid deflection radiation only one, but by both of the polarity that uses in order in striding across structure, two polarity that can deflection radiation.Yet in order to obtain enough extreme ultraviolet radiation transmissions, structure need to be opened very much, and the wall between hole (or line of wiregrating) need to be very narrow.Too narrow when metal part, the radiation of 10.6 μ m will no longer be suppressed effectively, and this structure may be very fragile and easily suffer intensification problem.
Can use reflectance spectrum purity optical filter, it is in conjunction with extreme ultraviolet radiation reflective top layer and for example, antireflection (AR) coating to collateral radiation (radiation of 10.6 mum wavelengths).Yet, find under the condition of enough large angular range simultaneously repeller UV radiation effectively and transmission or the material combination that absorbs collateral radiation are difficult effectively.
According to one embodiment of present invention, provide a kind of for extreme ultraviolet source or the spectral purity filter that uses together with extreme ultraviolet source, it is based on using refraction collateral radiation deflection is gone out to output radiation to obtain the extreme ultraviolet radiation of wanting of higher proportion in output radiation.Spectral purity filter comprises the optically active body to the medium of collateral radiation transmission, and comprise in a first direction at least one ,Gai hole, hole transversely having of described direction be greater than the wavelength of extreme ultraviolet radiation and be less than collateral radiation wavelength across diameter.Optically active body provides the transformation to the effective refractive index of collateral radiation.This transformation has along the master who departs from the direction of first direction and turns.The transformation of refractive index may reside in the change of medium, for example, for example, between the medium (vacuum or air) of the environment at the medium of optically active body and optically active body layout place.In this case, main turning is equivalent to form the medium of optically active body and the normal to a surface at the interface between surrounding medium.In one embodiment, the medium that the transformation of refractive index is used for optical active element is intrinsic.In this case, have refractive index the direction of high change be counted as main turning.
At least one hole have be greater than extreme ultraviolet radiation wavelength across diameter, to obtain enough extreme ultraviolet transmissions.Yet, hole across diameter, be less than the wavelength of non-extreme ultraviolet collateral radiation, the propagation of collateral radiation is controlled substantially, just as these holes do not exist by spectral purity filter.
Fig. 4 schematically illustrates spectral purity filter 40 according to an embodiment of the invention, and it makes to change direction along the collateral radiation 44 of outbound course, departs from the extreme ultraviolet radiation 43 of wanting.In Fig. 4, x, y, z coordinate system is shown, wherein direction z represents main optical path, i.e. the integrated communications direction of radiation (global propagation direction).The embodiment of this paper spectral purity filter below illustrates with reference to this coordinate system.Spectral purity filter comprises the optically active body 40 to the medium of collateral radiation transmission, and comprise at least one hole (50 in a first direction, see Fig. 5 A and 5B), its transversely having of described direction be greater than the wavelength of extreme ultraviolet radiation and be less than collateral radiation wavelength across diameter.In the illustrated embodiment, spectral purity filter 40 is parts of source module, and source module is configured to assemble the radiation Rin producing and arrives intermediate focus.Spectral purity filter 40 is arranged on a position of optical path, and wherein spectral purity filter receives the radiation Rin that produces and before intermediate focus in this position.In the illustrated embodiment, at least one hole 50 has the direction parallel with the optical axis of spectral filter.In another embodiment, as shown in Figure 12 A, 12B, for example align with the direction of propagation of the radiation of the generation of assembling at least one hole 50 of spectral purity filter 40.
The main body 42 at intermediate focus place with pin hole 41 allows, by pin hole transmission extreme ultraviolet radiation 43, still to stop and change nyctitropic radiation 44.
According to one embodiment of present invention, spectral purity filter 40 is to make collateral radiation change nyctitropic transmission filter.Yet, replace using the diffraction owing to phase grating, spectral purity filter 40 comprises having in order to collateral radiation refraction is left at least one surperficial structure of the direction of propagation of output radiation, described surface has swelling structure, for example lens arra (an array of lenslets), prism or surfaceness.By thering are one or more holes, for example spectral purity filter is worn to a plurality of for example, apertures across size (diameter) with the wavelength that is greater than the wavelength of the extreme ultraviolet radiation of wanting but is less than the collateral radiation being excluded, can realize extreme ultraviolet transmission.
In order to utilize refraction, should use the medium with fully high refractive index.Meanwhile, the absorption of medium should be all low for extreme ultraviolet radiation and collateral radiation, to keep the flux of extreme ultraviolet radiation and limit heat load.Because there is no known material extreme ultraviolet radiation to enough low absorption, this is impossible in practice.
In one embodiment of the invention, for example can use, to non-extreme ultraviolet collateral radiation (, infrared radiation) is transparent material, and this material has one or more holes.This hole can transmission extreme ultraviolet radiation.As long as this hole have be substantially less than this collateral radiation wavelength across size, this collateral radiation has the uniform Effective medium (effective medium approximation) in refractive index and the refractive index between vacuum of material by experience.In this way, form new medium, it is all transparent to non-extreme ultraviolet collateral radiation and extreme ultraviolet radiation.To the refractive index of this collateral radiation, can adjust (master is porosity factor) by changing total area in the hole in material.
Fig. 5 A and 5B schematically illustrate the spectral purity filter 40 that comprises material block, and it is formed by having a large amount of hole 50 substantially transparent to extreme ultraviolet radiation.Fig. 5 A illustrates according to the vertical view of the indication of N in Fig. 4, and Fig. 5 B illustrates the xsect by X-X.Hole 50 has first direction r1.For clear, spectral purity filter 40 is illustrated as plate of material.Yet in actual applications, spectral purity filter 40 can have if Fig. 7 is to the surface relief structure as shown in 10B.In this way, can form optically active body, in the direction of the first direction r1 of offset bore, provide the transformation to the effective refractive index of non-extreme ultraviolet collateral radiation.In one embodiment, this can be in the situation that do not have the spherical surface swelling structure shown in embodiment in Figure 11 A and 11B to realize.
According to the spectral purity filter of an embodiment, in a first direction the extreme ultraviolet radiation of wanting is had to relatively good transmission because at least one hole have be greater than the extreme ultraviolet radiation of wanting wavelength across diameter.This at least one hole is substantially sightless to having the collateral radiation of the wavelength longer than extreme ultraviolet radiation, and the propagation that the makes non-extreme ultraviolet radiation mainly concrete size of the shape by larger than this at least one hole dimensionally optically active body is determined.When departing from refractive index in the direction of first direction r1, optically active body there is transformation, collateral radiation will be deflected, or be even reflected, collateral radiation is propagated along the direction different from the extreme ultraviolet radiation of wanting, and can separate with the extreme ultraviolet radiation of wanting.
As simple effective medium approximation, the specific inductive capacity of " newly " material can calculate the linearity combination as the specific inductive capacity of the specific inductive capacity of original material and hole (vacuum):
ε≈fε vacuum+(1-f)ε medium (1)
By above formula, can calculate the impact of refractive index n, because
n ≈ ϵ - - - ( 2 )
Therefore:
n 2 ≈ p + ( 1 - p ) n medium 2 - - - ( 3 )
Therefore, the porosity factor in hole higher (so extreme ultraviolet radiation transmission is higher), effective refractive index will be lower.Porosity factor p is counted as not passing the part of the original medium in hole.Porosity factor p can change on whole medium, as illustrated in another embodiment.Under the condition of 10.6 mum wavelengths, the refractive index of silicon is 3.74-i0.001.Therefore the silicon layer that, has a hole of 90% porosity factor should have the effective refractive index of n ≈ 1.52.This value approaches the refractive index of the many glass in visible wavelength region very much.Therefore,, even under the condition of this open architecture, should utilize refraction to change radiation path.Silicon may be specially suitable, because it can form by anisotropic etching the hole of the micron-scale of arranging thick and fast.Yet, the in the situation that of 10.6 μ m radiation, generally speaking can use all materials to collateral radiation substantial transparent, such as ZnSe, ZnS, GaAs, Ge etc.
In Fig. 5 A and 5B, the cylindrical hole 50 with circular cross section is shown.Yet, can use other shapes.For example, with square opening or hexagonal hole, form honeycomb structure, can obtain more close solid matter, therefore obtain more much higher cell size coefficient.
In order to make collateral radiation change direction with spectral purity filter 40, leave intermediate focus, can use any in several structures.For example, with negative lens or the positive lens of spectral purity filter 40, can realize the change direction shown in Fig. 4.Fig. 6 A schematically illustrates the negative lens before intermediate focus, Fig. 6 B schematically illustrates the positive lens before intermediate focus, they can make collateral radiation change the focus that direction is left the radiation of wanting, and the main body with hole 41 42 that makes it can be positioned at the place, focal position of the radiation of wanting stops.In one embodiment, the shape of the material block of spectral purity filter 40 is formed positive or negative lens.In the embodiment of Fig. 6 A, 6B and 7, the transformation of effective refractive index for example, is determined by the material of optically active body and the interface between environment (air).Therefore, the principal direction of this transformation is the incident of optically active body or the normal of exit surface.As what see from Fig. 7, the normal direction of exit surface departs from the direction of z axis substantially.The direction in hole (for clear not shown) is consistent with z axis in this case.Therefore, the direction of the principal direction n1 of transformation, n2 offset bore.
If spectral purity filter 40 is formed by single lens, it can be thicker.This causes the high aspect ratio (aspect ratio) of extreme ultraviolet radiation beam orifice, thereby only causes very narrow lens cone.In fact, with reference to Fig. 7, the angle that lens form is launched (from collimated beam) and is provided by f-number, and f-number is the ratio of focal distance f and diameter d.F-number is less, and angle [alpha] is larger.Focal distance f depends on refractive index and lens shape (radius of curvature R), with equation, is expressed as: f=R/ (n-1).F-number 4 (f=4d) will show that angle expansion α is ± 7 °.In the situation that effective refractive index is 1.5, this means f=2R, so R=2d.
Therefore, the minimum thickness of this lens is,
t = R - R 2 - ( d 2 ) 2 - - - ( 4 )
Therefore, t=0.064*d.
This large diameter single lens thickness that shows have~10cm should be greater than 0.5cm.This may be debatable for extreme ultraviolet radiation transmission.Yet for lens arra, this structure can be thinner.In the situation that the pitch of 150 microns, the thickness of 10 microns will be enough.This should be enough thin can obtain extreme ultraviolet radiation beam orifice, and obtain enough extreme ultraviolet radiation transmissions.For example, hole can be 2 microns across size.This causes the transmission tapering α of extreme ultraviolet radiation is atan (2/10)=11 degree.Meanwhile, the pitch of lens is than the large order of magnitude of the wavelength of undesired radiation.This expects, to avoid diffraction, and can be by concentration of energy on several directions only.
Therefore, in one embodiment, as shown in Fig. 8 A and 8B, use the array of lens.It can be the lenticular array of one dimension, or the lens grid of two dimension.In one embodiment, spectral purity filter 40 can be microlens array spectral purity filter substantially.Fig. 8 A is the cross sectional representation of common lens arra, and Fig. 8 B is installed with a plurality of holes to form the cross sectional representation of the lens arra of spectral purity filter 40.Here refractive index n 1, n2 turn by the medium of optically active body 40 and around medium between the curvature at interface determine.As shown in Figure 8 B, at least one hole 50, its maintenance turns different from the direction r1 in this at least one hole to the master of the refractive index n 1 of collateral radiation, n2.
Therefore, extreme ultraviolet radiation will be relatively by hole with being stopped, and being refracted across the long undesired radiation of diameter of wavelength ratio hole, makes to occur between extreme ultraviolet radiation and collateral radiation space separation.Subsequently, undesired collateral radiation can be blocked.
Fig. 9 B illustrates, and with respect to the thickness of the traditional convex lens shown in Fig. 9 A, how the Fresnel Lenses 40 of replacement reduces in order to realize thickness t.Equally, traditional concavees lens can be replaced with Fresnel Lenses.For clear, Fig. 9 A, 9B do not illustrate hole.In addition, in this case, at least one hole (not shown), keep the master of the refractive index of collateral radiation to turn different from the direction r1 at least one hole.
With respect to using lens, alternatively or additionally, can carry out deflection radiation by other structures.For example, with reference to figure 10A (wherein for the one or more extreme ultraviolet radiation beam orifices of clear omission), can use one or more prisms or cone.As shown in Figure 10 A, exit surface has the surface of inclination, the direction r1 that the surperficial surface normal n2 offset bore of described inclination extends into.Therefore, the master for the refractive index of collateral radiation turns different from the direction r1 in hole.
This structure even can the reflected radiation for replacing deflection radiation, shown in Figure 10 B.With respect to these, limit good structure, alternatively or additionally, can radiating scattering be gone out to bundle by surfaceness.In this case, should have substantially and the suitable roughness component of (or larger) of the length numerical value of the magnitude of collateral radiation wavelength.
In one embodiment, do not use surface structure to form for example lens or prism.On the contrary, refractive index can be changed so that with the mode deflection ray identical with ordinary lens.Change effective refractive index and can be used to form graded index (GRIN) lens.Figure 11 A schematically illustrates the principle of operation of grin lens, ray is shown towards crooked with the region with maximum refractive index shown in the darkest shade.As shown in the figure on the left-hand side of Figure 11 A, in this embodiment, refractive index reduces along eccentric direction.
In the embodiment shown in Figure 11 A and 11B, for example, by changing at leisure the pattern in the hole in the material of spectral purity filter 40 or the gradient that layout (size in hole and/or closeness) realizes refractive index.The same with vesicularity, refractive index is radially reduced to radius r=R from radius r=0, and the part of the medium being replaced by hole little by little increases in the direction.Figure 11 B schematically illustrates this example, wherein changes the pattern in hole or layout to form the gradient of effective refractive index.Figure 11 B illustrates in Figure 11 A along the part of the lens 40 of visual angle N.The potential advantage of this embodiment is, these lens can be formed thinlyyer (cause lower aspect ratio, therefore cause good extreme ultraviolet radiation transmission), because do not need variation in thickness to form lens.In this embodiment, the existence in hole has dual-use function, and wherein having of they helps the transmission of optically active body to the extreme ultraviolet radiation of wanting, and their distribution causes the gradient of the refractive index of wanting.In the embodiment of Figure 11 A, 11B, formed positive lens.In one embodiment, by the vesicularity radially reducing is provided, and therefore form the refractive index radially increasing, can form negative lens.In the embodiment of Figure 11 A, 11B, wherein lens are that positive lens is expectation, yet, because relatively much higher bore portion is positioned at the circumference of lens, make, with respect to negative grin lens, extreme ultraviolet radiation is had to relatively high transmissivity.In these embodiments, main turning crossed the optical axis of lens, and hole has the direction along optical axis simultaneously.Therefore, according to the lens of this embodiment, by by the extreme ultraviolet radiation in unaltered parallel incoming beam substantially, the propagation of undesired radiation is simultaneously changed to and departs from or convergent beams.
This design can be with one or more combinations of above-described structure for example, to reduce the thickness parameter (, making to form stronger lens in the situation that not increasing lens thickness) of spectral purity filter.
In one embodiment, by changing the refractive index of material itself, form grin lens.This can by for example partly dopant material realize.
In the most of embodiment that describe, can expect that the pitch in a plurality of holes is enough greatly to avoid diffraction in the above.If the periodic structure in hole has the pitch of wavelength magnitude, the radiation of scattering will can not distribute on large angular range, and will enter direction some restrictions well, restriction by diffraction.Conventionally Zero-order diffractive peak is one of stronger peak.Yet this peak is not deflected, so this may be less desirable.
Yet, can also use diffraction.For example, if the prism structure illustrating by prism angle with periodically form, makes the angle of deflection and the angle of the order of diffraction that cause due to the refraction on prism consistent, then on this specific direction, can obtain high diffraction efficiency on Figure 10 A.This is in fact blazed grating.
In Fig. 8 A, 8B and 9A, 9B and 10A, 10B, in the radiation exiting side of spectral purity filter 40, surface is shown and changes.Additionally or alternatively, surface ruffle may reside in the radiation light incident side of spectral purity filter 40.In addition, one or more sides of spectral purity filter can be that metallizing is to produce additional collateral radiation reflection.
In one embodiment, the ray that is incident on the radiation on optical filter can be parallel.In this case, the radiation beam of incident can for example separate the first intrafascicular and collateral radiation intrafascicular of departing from this direction by one dimension lenticular array in the radiation of wanting of propagating along its its original orientation.In practical application, as shown in the embodiment shown in Fig. 4 and 6A, 6B, the radiation of incident is focused on the point that is called intermediate focus, or assembles on the other hand towards a point or region.If it is large that aspect ratio becomes, this can cause problem for extreme ultraviolet radiation transmission by aperture.Therefore, the direction in hole is alignd and may be wanted with incident radiation.This situation, for example shown in Figure 12 A, schematically illustrates hole direction as the function of position to substantially align with the ray of incident radiation in figure.Alternatively or additionally, hole can be vertical with the surface of spectral purity filter that receives incident radiation, but spectral purity filter is curved surface, or segmentation, the orientation in hole is kept approaching the direction of incident radiation.This is for example shown in Figure 12 B, and it schematically illustrates the section of the inclination of spectral purity filter.By plate being formed to the grin lens as shown in Figure 11 A, 11B, spectral purity filter 40 can form flat plate (Figure 12 A), or a plurality of flat plate (Figure 12 B).Figure 11 A, 11B schematically illustrate the principle of operation of grin lens.In one embodiment, spectral purity filter can be formed with the surface relief structure as shown in Fig. 7,8A, 8B, 9A, 9B, 10A, 10B.(as shown in Figure 11 A and 11B) in one embodiment, the master that refractive index has in the direction of the plane of spectral purity filter 40 turns.In one embodiment, refractive index has main turning, and it is determined by surperficial local normal.
Should be realized that, embodiments of the invention can, for the extreme ultraviolet source of any type, include but not limited to plasma source (DPP source) or laser-produced plasma source (the LPP source) of discharge generation.Yet one embodiment of the present of invention can be particularly suited for suppressing the radiation of a part in the common formation laser-produced plasma source of self-excitation light source.This is because the collateral radiation that output is produced by laser conventionally of this plasma source.
In actual applications, spectral purity filter can be arranged in any position of radiation path.In one embodiment, spectral purity filter is arranged in and receives the region to suitable downstream extreme ultraviolet optics system from the radiation containing extreme ultraviolet of extreme ultraviolet radiation source carry electrode UV radiation, and wherein the radiation from extreme ultraviolet radiation source is arranged to pass through spectral purity filter before entering optical system.In one embodiment, spectral purity filter is arranged in extreme ultraviolet radiation source.In one embodiment, spectral purity filter is arranged in extreme ultraviolet photolithographic equipment.In one embodiment, after spectral purity filter is arranged in radiation path, plasma, before gatherer.
Although the application of lithographic equipment in manufacturing ICs has been described in detail in detail herein, should be understood that, lithographic equipment described herein can have other application, such as the guiding of manufacturing integration optical system, magnetic domain memory and check pattern, flat-panel monitor, liquid crystal display (LCDs), thin-film head etc.Those skilled in the art should see, in the situation of this alternate application, use therein any term " wafer " or " tube core " can be thought respectively and more upper term " substrate " or " target part " synonym.Here the substrate of indication can be processed before or after exposure, for example, in track (a kind of typically resist layer is coated onto on substrate, and the instrument that the resist having exposed is developed), survey instrument and/or the instruments of inspection.In applicable situation, disclosure here can be applied in this and other substrate processing instruments.In addition, more than described substrate can be processed once, for example, for producing multilayer IC, make described term used herein " substrate " also can represent to have comprised the substrate of a plurality of processed layers.
In the situation that allowing, term " lens " can represent any or its combination in dissimilar optical component, comprises refraction type, reflective, magnetic, electromagnetism and electrostatic optics member.
Although below described specific embodiments of the invention, should be realized that, the present invention can be to realize from above-mentioned different mode.Above description is illustrative, rather than restrictive.Therefore, it will be understood by those of skill in the art that under the condition of protection domain that does not deviate from appended claim, can modify to the present invention.

Claims (18)

1. a spectral purity filter, be configured to allow extreme ultraviolet radiation transmission by wherein and reflect non-extreme ultraviolet collateral radiation so that extreme ultraviolet radiation is separated with non-extreme ultraviolet collateral radiation, wherein, in the material of described spectral purity filter, limit at least one hole, described at least one hole have the wavelength that is greater than the wavelength of extreme ultraviolet radiation and is less than non-extreme ultraviolet collateral radiation across size, and wherein, the non-extreme ultraviolet collateral radiation of described material transmission.
2. spectral purity filter according to claim 1, wherein, described material forms optically active body, described optically active body provides the transformation to the effective refractive index of non-extreme ultraviolet collateral radiation, extend along first direction in described at least one hole, and described transformation has main turning departing from the direction of described first direction.
3. spectral purity filter according to claim 1 and 2, wherein, the surface of described material forms lens.
4. spectral purity filter according to claim 3, wherein, described lens are Fresnel Lenses.
5. spectral purity filter according to claim 4, wherein, the surface of described material forms a plurality of lens.
6. spectral purity filter according to claim 1 and 2, wherein, makes the change in pattern in the hole in described material to form the lens of gradually changed refractive index.
7. spectral purity filter according to claim 1 and 2, wherein, the surface of described material forms prism.
8. spectral purity filter according to claim 1 and 2, wherein, the surface of described material is provided with surfaceness with the non-extreme ultraviolet collateral radiation of deflection incident.
9. spectral purity filter according to claim 1 and 2, wherein, described hole is along the extreme ultraviolet radiation of incident and the alignment of the direction of propagation of non-extreme ultraviolet collateral radiation.
10. spectral purity filter according to claim 9, comprises the section of a plurality of inclinations, and described section has and arrange the hole of aliging in order to receive the surperficial perpendicular of described section of incident radiation.
11. spectral purity filters according to claim 9, wherein, hole is arranged in order to receive the surperficial angle extension of the spectral purity filter of incident radiation to be not orthogonal to.
12. spectral purity filters according to claim 1 and 2, also comprise that limiting pin hole is arranged in radiation path, spectral purity filter main body afterwards, described pin hole is arranged in order to receive the extreme ultraviolet radiation of transmission and to stop non-extreme ultraviolet collateral radiation refraction or reflection.
13. 1 kinds for lithographic equipment or the source module that uses together with lithographic equipment, described source module is configured to produce extreme ultraviolet radiation output stage UV radiation and non-extreme ultraviolet collateral radiation, and described source module comprises according to the spectral purity filter described in any one in claim 1-11.
14. source modules according to claim 13, wherein, described source module is configured to generated radiation to assemble to intermediate focus, described spectral purity filter is arranged in the position in optical path, wherein optical purity optical filter receives the radiation generate and before intermediate focus, and aligns in the direction of propagation of the radiation that generates with convergence of described at least one hole of wherein said spectral purity filter.
15. 1 kinds of lithographic equipments, are arranged to pattern to be projected to substrate from patterning device, and described lithographic equipment comprises according to the spectral purity filter described in any one in claim 1-12 and/or according to the source module described in claim 13 or 14.
16. 1 kinds of device making methods, comprise the extreme ultraviolet radiation bundle of patterning is projected on substrate, wherein said extreme ultraviolet radiation is by filtering according to the spectral purity filter described in any one in claim 1-12, pass through according to the source module generation described in claim 13 or 14 or by lithographic equipment projection according to claim 15.
17. 1 kinds of device making methods, comprise step:
Use radiation source to generate the radiation that comprises extreme ultraviolet radiation and non-extreme ultraviolet collateral radiation;
Utilization according to the spectral purity filter filter radiation described in any one in claim 1-12 to allow transmission extreme ultraviolet radiation and to reflect non-extreme ultraviolet collateral radiation; With
The bundle of the patterning of the extreme ultraviolet radiation of transmission is projected on substrate.
18. 1 kinds of lithographic equipments, comprising:
Source module, is configured to generate extreme ultraviolet radiation output stage UV radiation and non-extreme ultraviolet collateral radiation;
According to the spectral purity filter described in any one in claim 1-12; With
Optical projection system, is configured to the radiation beam that comprises extreme ultraviolet radiation of patterning to be projected on substrate.
CN200980131716.2A 2008-07-11 2009-07-09 Radiation source, lithographic apparatus, and device manufacturing method Active CN102150084B (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US7997508P 2008-07-11 2008-07-11
US61/079,975 2008-07-11
US13615008P 2008-08-14 2008-08-14
US61/136,150 2008-08-14
US13698308P 2008-10-20 2008-10-20
US61/136,983 2008-10-20
PCT/EP2009/004981 WO2010003671A2 (en) 2008-07-11 2009-07-09 Radiation source, lithographic apparatus, and device manufacturing method

Publications (2)

Publication Number Publication Date
CN102150084A CN102150084A (en) 2011-08-10
CN102150084B true CN102150084B (en) 2014-03-05

Family

ID=41397613

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980131716.2A Active CN102150084B (en) 2008-07-11 2009-07-09 Radiation source, lithographic apparatus, and device manufacturing method

Country Status (7)

Country Link
US (3) US8390788B2 (en)
EP (1) EP2326990B1 (en)
JP (1) JP4876149B2 (en)
KR (1) KR101602373B1 (en)
CN (1) CN102150084B (en)
NL (2) NL2003157A1 (en)
WO (1) WO2010003671A2 (en)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4876149B2 (en) * 2008-07-11 2012-02-15 エーエスエムエル ネザーランズ ビー.ブイ. Spectral purity filter for use in a lithographic apparatus
WO2011035963A1 (en) * 2009-09-23 2011-03-31 Asml Netherlands B.V. Spectral purity filter, lithographic apparatus, and device manufacturing method
KR101797052B1 (en) * 2010-02-12 2017-11-13 에이에스엠엘 네델란즈 비.브이. Spectral purity filter
US20130194562A1 (en) * 2010-10-14 2013-08-01 Asml Netherlands B.V. Lithographic Apparatus and Device Manufacturing Method
JP5419900B2 (en) * 2011-01-01 2014-02-19 キヤノン株式会社 Filter, exposure apparatus and device manufacturing method
WO2012119672A1 (en) 2011-03-04 2012-09-13 Asml Netherlands B.V. Lithograpic apparatus, spectral purity filter and device manufacturing method
JP2014514736A (en) 2011-03-16 2014-06-19 ケーエルエー−テンカー コーポレイション EUV Actinic Reticle Inspection System Using Image Sensor with Thin Film Spectral Purity Filter Coating
DE102011076297A1 (en) * 2011-05-23 2012-11-29 Carl Zeiss Smt Gmbh cover
EP2533078B1 (en) 2011-06-09 2014-02-12 ASML Netherlands BV Radiation source and lithographic apparatus
EP2859410B1 (en) * 2012-06-12 2019-11-20 ASML Netherlands B.V. Photon source, metrology apparatus, lithographic system and device manufacturing method
EP2754524B1 (en) 2013-01-15 2015-11-25 Corning Laser Technologies GmbH Method of and apparatus for laser based processing of flat substrates being wafer or glass element using a laser beam line
EP2781296B1 (en) 2013-03-21 2020-10-21 Corning Laser Technologies GmbH Device and method for cutting out contours from flat substrates using a laser
DE102013223935A1 (en) * 2013-11-22 2015-05-28 Carl Zeiss Smt Gmbh Illumination system for EUV exposure lithography
NL2013700A (en) * 2013-11-25 2015-05-27 Asml Netherlands Bv An apparatus, a device and a device manufacturing method.
US10293436B2 (en) 2013-12-17 2019-05-21 Corning Incorporated Method for rapid laser drilling of holes in glass and products made therefrom
US11556039B2 (en) 2013-12-17 2023-01-17 Corning Incorporated Electrochromic coated glass articles and methods for laser processing the same
US9921881B2 (en) * 2014-05-27 2018-03-20 Sybase, Inc. Optimizing performance in CEP systems via CPU affinity
EP3164764B1 (en) * 2014-07-04 2021-02-24 ASML Netherlands B.V. Membranes for use within a lithographic apparatus and a lithographic apparatus comprising such a membrane
KR102445217B1 (en) 2014-07-08 2022-09-20 코닝 인코포레이티드 Methods and apparatuses for laser processing materials
TWI659793B (en) 2014-07-14 2019-05-21 美商康寧公司 Systems and methods for processing transparent materials using adjustable laser beam focal lines
EP3274306B1 (en) 2015-03-24 2021-04-14 Corning Incorporated Laser cutting and processing of display glass compositions
EP3319911B1 (en) 2015-07-10 2023-04-19 Corning Incorporated Methods of continuous fabrication of holes in flexible substrate sheets and products relating to the same
DE102016218028A1 (en) * 2016-09-20 2018-03-22 Carl Zeiss Smt Gmbh Reflective optical element
CN113399816B (en) 2016-09-30 2023-05-16 康宁股份有限公司 Apparatus and method for laser machining transparent workpieces using non-axisymmetric beam spots
KR102428350B1 (en) 2016-10-24 2022-08-02 코닝 인코포레이티드 Substrate processing station for laser-based machining of sheet-like glass substrates
US11353363B2 (en) * 2019-09-26 2022-06-07 Integrated Device Technology, Inc. Monolithic spectrometer
GB201917386D0 (en) * 2019-11-28 2020-01-15 Knapton Property Man Services Limited Lens
CN113093455B (en) * 2021-04-25 2023-01-31 维沃移动通信有限公司 Camera module and electronic equipment

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6522465B1 (en) * 2001-09-27 2003-02-18 Intel Corporation Transmitting spectral filtering of high power extreme ultra-violet radiation
EP1708031A2 (en) * 2005-03-29 2006-10-04 ASML Netherlands BV Multi-layer spectral purity filter lithographic apparatus including such a spectral purity filter and device manufacturing method, and device manufactured thereby

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3118933B2 (en) 1992-02-04 2000-12-18 株式会社ニコン X-ray exposure equipment
JPH08236425A (en) 1995-02-27 1996-09-13 Canon Inc Radiation take-out window and exposure apparatus having the same
US6014251A (en) 1997-04-08 2000-01-11 The United States Of America As Represented By The Secretary Of The Navy Optical filters based on uniform arrays of metallic waveguides
US20020186741A1 (en) 1998-06-04 2002-12-12 Lambda Physik Ag Very narrow band excimer or molecular fluorine laser
JP2000352612A (en) 1999-06-11 2000-12-19 Stanley Electric Co Ltd Multilayered film filter
EP1356476B1 (en) 2001-01-26 2006-08-23 Carl Zeiss SMT AG Narrow-band spectral filter and the use thereof
EP1262836B1 (en) 2001-06-01 2018-09-12 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1426824A1 (en) 2002-12-04 2004-06-09 ASML Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
JP2004317693A (en) 2003-04-15 2004-11-11 Mitsubishi Electric Corp Wavelength filter, exposure device and imaging apparatus
EP1515188A1 (en) 2003-09-10 2005-03-16 ASML Netherlands B.V. Method for protecting an optical element, and optical element
US7453645B2 (en) 2004-12-30 2008-11-18 Asml Netherlands B.V. Spectral purity filter, lithographic apparatus including such a spectral purity filter, device manufacturing method, and device manufactured thereby
US7336416B2 (en) 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US20070170379A1 (en) 2006-01-24 2007-07-26 Nikon Corporation Cooled optical filters and optical systems comprising same
US8937706B2 (en) 2007-03-30 2015-01-20 Asml Netherlands B.V. Lithographic apparatus and method
KR20100106352A (en) 2007-11-08 2010-10-01 에이에스엠엘 네델란즈 비.브이. Radiation system and method, and a spectral purity filter
JP4876149B2 (en) 2008-07-11 2012-02-15 エーエスエムエル ネザーランズ ビー.ブイ. Spectral purity filter for use in a lithographic apparatus
CN102099747B (en) * 2008-08-14 2013-06-19 Asml荷兰有限公司 Radiation source, lithographic apparatus, and device manufacturing method
US20110157573A1 (en) * 2008-08-29 2011-06-30 Asml Netherlands B.V. Spectral purity filter, lithographic apparatus including such a spectral purity filter and device manufacturing method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6522465B1 (en) * 2001-09-27 2003-02-18 Intel Corporation Transmitting spectral filtering of high power extreme ultra-violet radiation
EP1708031A2 (en) * 2005-03-29 2006-10-04 ASML Netherlands BV Multi-layer spectral purity filter lithographic apparatus including such a spectral purity filter and device manufacturing method, and device manufactured thereby

Also Published As

Publication number Publication date
JP4876149B2 (en) 2012-02-15
KR101602373B1 (en) 2016-03-21
EP2326990B1 (en) 2013-03-13
US8390788B2 (en) 2013-03-05
US20100020304A1 (en) 2010-01-28
WO2010003671A9 (en) 2011-03-10
US9195144B2 (en) 2015-11-24
US20110211185A1 (en) 2011-09-01
WO2010003671A2 (en) 2010-01-14
NL2003158A1 (en) 2010-01-12
KR20110039457A (en) 2011-04-18
CN102150084A (en) 2011-08-10
NL2003157A1 (en) 2010-01-12
US9041912B2 (en) 2015-05-26
JP2010021550A (en) 2010-01-28
WO2010003671A3 (en) 2010-03-04
EP2326990A2 (en) 2011-06-01
US20130010363A1 (en) 2013-01-10

Similar Documents

Publication Publication Date Title
CN102150084B (en) Radiation source, lithographic apparatus, and device manufacturing method
JP5068271B2 (en) Microlithography illumination system and projection exposure apparatus comprising such an illumination system
CN102132214B (en) Spectral purity filter and lithographic apparatus
US8873151B2 (en) Illumination system for a microlithgraphic exposure apparatus
US20070120072A1 (en) Illumination system particularly for microlithography
US20090316128A1 (en) Illumination system particularly for microlithography
KR101386353B1 (en) Illumination system comprising a fourier optical system
JP4313328B2 (en) Lithographic apparatus, device manufacturing method and variable attenuator
KR102051267B1 (en) Illumination optical system, exposure method and device manufacturing method
JP2009527112A (en) Illumination system for microlithographic projection exposure apparatus
US9274434B2 (en) Light modulator and illumination system of a microlithographic projection exposure apparatus
KR20100028531A (en) Catoptric illumination system for microlithography tool
CN102132213B (en) Spectral purity filter, lithographic apparatus including such spectral purity filter and device manufacturing method
CN102460302B (en) Lithographic apparatus and method for reducing stray radiation
CN102341754A (en) Illumination system, lithographic apparatus and method of forming an illumination mode
CN102105837B (en) Mirror, lithographic apparatus and device manufacturing method
CN104718499B (en) The illuminator of microlithographic projection exposure apparatus
US8724080B2 (en) Optical raster element, optical integrator and illumination system of a microlithographic projection exposure apparatus
US10928733B2 (en) Illumination optic for projection lithography
CN102884480B (en) Illumination system of a microlithographic projection exposure apparatus
CN102576194A (en) Spectral purity filter, lithographic apparatus, and device manufacturing method

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant