CN101960556B - Method for curing a porous low dielectric constant dielectric film - Google Patents

Method for curing a porous low dielectric constant dielectric film Download PDF

Info

Publication number
CN101960556B
CN101960556B CN2009801078443A CN200980107844A CN101960556B CN 101960556 B CN101960556 B CN 101960556B CN 2009801078443 A CN2009801078443 A CN 2009801078443A CN 200980107844 A CN200980107844 A CN 200980107844A CN 101960556 B CN101960556 B CN 101960556B
Authority
CN
China
Prior art keywords
radiation
exposed
film
low
dielectric film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2009801078443A
Other languages
Chinese (zh)
Other versions
CN101960556A (en
Inventor
刘俊军
多雷尔·I·托玛
埃里克·M·李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/043,814 external-priority patent/US7977256B2/en
Priority claimed from US12/043,772 external-priority patent/US7858533B2/en
Priority claimed from US12/043,835 external-priority patent/US20090226694A1/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to CN201210246284.3A priority Critical patent/CN102789975B/en
Publication of CN101960556A publication Critical patent/CN101960556A/en
Application granted granted Critical
Publication of CN101960556B publication Critical patent/CN101960556B/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Abstract

A method of curing a low dielectric constant (low-k) dielectric film on a substrate is described, wherein the dielectric constant of the low-k dielectric film is less than a value of approximately 4. The method comprises exposing the low-k dielectric film to infrared (IR) radiation and ultraviolet (UV) radiation.

Description

The method that is used for the curing porous low dielectric constant dielectric film
The cross reference of related application
The exercise question that the application relates on November 9th, 2005 and submits is the unsettled U.S. Patent application No.11/269581 of " being used for solidifying multi-step system and the method for dielectric film " and the exercise question submitted on September 8th, the 2006 unsettled U.S. Patent application No.11/269581 for " being used for solidifying the heat treatment system of dielectric film ".In addition, the application relates to the exercise question of submitting on the same day with the application and is " being used for removing the method that the hole produces material from uncured low K dielectrics film " common unsettled U.S. Patent application No.12/XXX (TDC-007), XXX, exercise question are " porous contains SiCOH dielectric film and preparation method thereof " common unsettled U.S. Patent application No.12/XXX (TDC-008), XXX and exercise question are " method of processing dielectric film with infrared radiation " common unsettled U.S. Patent application No.12/XXX (TDC-009), XXX.The full content of above-mentioned patent application by reference integral body is incorporated into this.
Technical field
The present invention relates to the method for the treatment of dielectric film, more specifically, relate to the method with electromagnetism (electromagnetic, EM) radiation treatment low-k (low k) dielectric film.
Background technology
Well-known for the technical staff of technical field of semiconductors, interconnected delay is to promote to improve the speed of integrated circuit (IC) and the key constraints in the performance.A kind of method that as far as possible reduces interconnected delay is by using low-k (low k) material to reduce interconnect capacitance as the insulation dielectric of metal wire in the IC device.Therefore, in recent years, developed the insulating material that low-k materials (for example silicon dioxide) replaces relative high-k.Specifically, low-k film be used between the dielectric layer between the semiconductor device metal wire and within.In addition, in order further to reduce the dielectric constant of insulating material, material membrane forms has pore, that is, and and the porous low k dielectric film.Can with to apply photoresist the same as rotary coating dielectric (SOD) method, perhaps deposit above-mentioned low-k film by chemical vapour deposition (CVD) (CVD).Therefore, use low-k materials easily to adapt to existing semiconductor fabrication process.
Low-k materials does not have more traditional silicon dioxide firm, and mechanical strength further descends along with introducing porousness.Porous low k film is easily damaged in plasma treatment procedure, thereby more needs mechanical strength to strengthen process.The enhancing of having understood the dielectric strength of materials of porous low k is integrated for success to be very important.For enhance mechanical strength, develop optional curing technology, so that porous low k film is firmer and be suitable for integrated.
The curing of polymer comprises that processing for example uses film that rotary coating or vapour deposition (for example chemical vapour deposition (CVD) CVD) technology deposit to cause the crosslinked process in the film.In solidification process, radical polymerization is considered to for crosslinked predominating path.Because polymer chain is crosslinked, has improved mechanical performance, for example Young's modulus, film hardness, fracture toughness and interface bond, thereby have improved the structure robustness of low-k film.
Owing to there being multiple formation to have the method for the porous dielectric film of ultralow dielectric, the purpose of deposit post-treatment (curing) can be different and different according to film, comprise and for example remove moisture, remove solvent, exhaust for forming the pore former of pore at the porous dielectric film, and improve mechanical performance of above-mentioned film etc.
For cvd film, the usually hot curing under the temperature in 300 ℃ to 400 ℃ scope of low-k (low k) material.For example, solidify in the stove to be enough to make and have greater than the about low-k film of 2.5 firm compact dielectric constant.But when processing porous dielectric film (for example ultralow k film) with high porosity, the degree of cross linking that heat treatment (or hot curing) realizes no longer is enough to have for firm interconnect architecture manufacturing the film of sufficient intensity.
In curing process, an amount of energy is sent to dielectric film and does not damage dielectric film.But, in interested temperature range, can only produce a small amount of free radical.Since heat energy with the thermal coupling of substrate in loss and thermal loss around in the environment, so can only a small amount of heat energy of actual absorption in the low-k film to be solidified.Therefore, curing needs high temperature and long curing time in the common low k stove.But, even high heat budget is arranged, in hot curing, do not produce initator and in the low-k film that deposits, exist a large amount of methyl end groups can be so that be difficult to realize the required degree of cross linking yet.
Summary of the invention
The present invention relates to the method for the treatment of dielectric film, more specifically, relate to the method for solidifying low-k (low k) dielectric film.
The invention still further relates to the method with electromagnetism (EM) radiation treatment low K dielectrics film.
According to embodiment, the method for the low-k on the cured substrate (low k) dielectric film has been described, wherein the dielectric constant of this low K dielectrics film is less than about 4 value.The method comprises the low K dielectrics film is exposed to infrared (IR) radiation and ultraviolet (UV) radiation.
According to another embodiment, the method for the low-k on the cured substrate (low k) dielectric film has been described, it comprises: form the low K dielectrics film at substrate; The low K dielectrics film is exposed to first infrared (IR) radiation; After being exposed to an IR radiation, the low K dielectrics film is exposed to ultraviolet (UV) radiation; And after being exposed to the UV radiation, the low K dielectrics film is exposed to second infrared (IR) radiation, wherein, the dielectric constant of low K dielectrics film is less than about 4 value.
According to another embodiment, the method for the low-k on the cured substrate (low k) film has been described, it comprises: form the low K dielectrics film at substrate, the low K dielectrics film comprises that structure forms material and the hole produces material; Within the first duration, the low K dielectrics film is exposed to infrared (IR) radiation; And within the first duration, the low K dielectrics film is exposed to ultraviolet (UV) radiation reached for the second duration, wherein, the second duration is less than the first duration, and wherein, the second duration originated in the very first time within the first duration, and the second time within described the first duration.
According to another embodiment, the method for low-k (low k) dielectric film on a kind of cured substrate has been described, it comprises: form the low K dielectrics film at substrate, the low K dielectrics film comprises that structure forms material and the hole produces material; The basic hole of removing produces material from the low K dielectrics film, to form the porous low k dielectric film; After removing, in the porous low k dielectric film, produce cross-linked evocating agent; And after producing cross-linked evocating agent, the porous low k dielectric film is occured crosslinked.
Description of drawings
In the accompanying drawings:
Fig. 1 is the flow chart according to the method for the processing dielectric film of embodiment;
Fig. 2 is the flow chart according to the method for the processing dielectric film of another embodiment;
Fig. 3 is the flow chart according to the method for the processing dielectric film of another embodiment;
Fig. 4 is the flow chart according to the method for the processing dielectric film of another embodiment;
Fig. 5 A is schematic diagram according to the transfer system that is used for drying system and cure system of embodiment to Fig. 5 C;
Fig. 6 is the schematic sectional view according to the drying system of another embodiment; With
Fig. 7 is the schematic sectional view according to the drying system of another embodiment.
Embodiment
In the following description, in order thoroughly to understand the present invention and to be convenient to explanation and to be not in a limitative way, listed detail, for example the concrete geometry for the treatment of system and to the description of various assemblies and process.But, should be appreciated that also and can implement the present invention with other embodiment that are different from above-mentioned detail.
The inventor recognizes some shortcomings that optional curing only should right hot curing.For example, compare with curing process, optional curing is more effective aspect the energy transmission, can excite easily electronics in the low K dielectrics film with high energy particle (for example accelerating electronics, ion or neutral particle) form or with the higher energy level that the high-energy photon form is found, thereby effectively destroy chemical bond and from separating pendant groups.Above-mentioned optional curing has promoted generation cross-linked evocating agent (free radical), and can improve actual crosslinked in required energy transmission.As a result, can increase the degree of cross linking in the situation that reduce heat budget.
In addition, the inventor recognizes, when film-strength became for integrated low k and ultralow k (ULK) dielectric film (dielectric constant less than approximately 2.5) prior problem, optional curing can improve the mechanical property of above-mentioned film.For example, can use electron beam (EB), ultraviolet (UV) radiation, infrared (IR) radiation and microwave (MW) radiation to solidify low-k film and ULK film, to improve mechanical strength, can not sacrifice dielectric property and film hydrophobicity simultaneously.
But, although solidifying, EB, UV, IR and MW all have advantages of himself, above-mentioned technology also has defective.The high energy curing source (for example EB and UV) can provide high level, and to produce enough crosslinked cross-linked evocating agents (free radical) that is used for, this causes in the situation that extra substrate heating significantly improves mechanical performance.On the other hand, electronics and UV photon can produce indiscriminate chemical bond from solution, and required physics and the electrology characteristic of the disadvantageous reduction film of this possibility for example lose hydrophobicity, increase residual film stress, loose structure damage, film densification and increase dielectric constant.In addition, low-yield curing source (for example MW solidifies) can mainly provide aspect heat transference efficiency and significantly improve, but has simultaneously side effect, for example arc light or damage transistor (MW).
According to embodiment, the method for the low-k on the cured substrate (low k) dielectric film has been described, wherein the dielectric constant of this low K dielectrics film is less than about 4 value.Said method comprises makes the low K dielectrics film be exposed to unionized electromagnetism (EM) radiation, comprises ultraviolet (UV) radiation and infrared (IR) radiation.Be exposed to the UV radiation and can comprise the multiple UV of being exposed to radiation, wherein every kind is exposed to that the UV radiation can comprise or can not comprise different intensity, power, power density or wave-length coverage, perhaps above-mentioned every in two or multinomial combination in any.Be exposed to the IR radiation and can comprise the multiple IR of being exposed to radiation, wherein every kind is exposed to that the IR radiation can comprise or can not comprise different intensity, power, power density or wave-length coverage, perhaps above-mentioned every in two or multinomial combination in any.
In the process that is exposed to the UV radiation, can by substrate temperature is risen to from approximately 200 degrees centigrade heat the low K dielectrics film to about 600 degrees centigrade the hot temperature of UV of scope.Perhaps, the hot temperature range of UV is from approximately 300 degrees centigrade to approximately 500 degrees centigrade.Perhaps, the hot temperature range of UV is from approximately 350 degrees centigrade to approximately 450 degrees centigrade.Can be by conduction heating, Convective Heating or radiation heating, perhaps above-mentioned every in two or multinomial combination in any carry out the substrate heating.
Get in the process being exposed to the IR radiation, can by substrate temperature is risen to from approximately 200 degrees centigrade heat the low K dielectrics film to about 600 degrees centigrade the hot temperature of IR of scope.Perhaps, the hot temperature range of IR is from approximately 300 degrees centigrade to approximately 500 degrees centigrade.Perhaps, the hot temperature range of IR is from approximately 350 degrees centigrade to approximately 450 degrees centigrade.Can be by conduction heating, Convective Heating or radiation heating, perhaps above-mentioned every in two or multinomial combination in any carry out the substrate heating.
In addition, heating can betide and be exposed to before the UV radiation, is exposed in the process of UV radiation or is exposed to after the UV radiation, perhaps in above-mentioned in every two or the multinomial combination in any.In addition, heating can betide and be exposed to before the IR radiation, is exposed in the process of IR radiation or is exposed to after the IR radiation, perhaps in above-mentioned in every two or the multinomial combination in any.Can be by conduction heating, Convective Heating or radiation heating, perhaps above-mentioned every in two or multinomial combination in any carry out heating.
In addition, be exposed to the IR radiation and can betide and be exposed to before the UV radiation, be exposed in the process of UV radiation or be exposed to after the UV radiation, perhaps in above-mentioned in every two or the multinomial combination in any.In addition, be exposed to the UV radiation and can betide and be exposed to before the IR radiation, be exposed in the process of IR radiation or be exposed to after the IR radiation, perhaps in above-mentioned in every two or the multinomial combination in any.
Be exposed to the UV radiation or be exposed to the IR radiation or both before, can by substrate temperature is risen to from approximately 200 degrees centigrade heat the low K dielectrics film to about 600 degrees centigrade the in advance heat treatment temperature of scope.Perhaps, heat-treatment temperature range is from approximately 300 degrees centigrade to approximately 500 degrees centigrade in advance, and preferred, heat-treatment temperature range is from approximately 350 degrees centigrade to approximately 450 degrees centigrade in advance.
Be exposed to the UV radiation or be exposed to the IR radiation or both after, can by substrate temperature is risen to from approximately 200 degrees centigrade heat the low K dielectrics film to about 600 degrees centigrade the subsequent heat treatment temperature of scope.Perhaps, the subsequent heat treatment temperature range is from approximately 300 degrees centigrade to approximately 500 degrees centigrade, and preferred, the subsequent heat treatment temperature range is from approximately 350 degrees centigrade to approximately 450 degrees centigrade.
With reference now to Fig. 1,, the method for processing the dielectric film on the substrate is described according to another embodiment.Pending substrate can be that semiconductor, metallic conductor or dielectric film are with any other substrate formed thereon.Dielectric film can have less than SiO 2Dielectric constant dielectric constant values (dry and/or solidify before or dry and/or solidify after, the latter both have concurrently), SiO 2Dielectric constant be approximately 4 (for example, the dielectric constant of thermal silicon dioxide can in from 3.8 to 3.9 scope).In various embodiment of the present invention, dielectric film can have dielectric constant less than 3.0 (dry and/or solidify before or dry and/or solidify after, the latter both have concurrently), dielectric constant less than 2.5, less than 2.2 dielectric constant or less than 1.7 dielectric constant.
Dielectric film can be described to low-k (low k) film or ultralow k film.Dielectric film can comprise at least a material in organic material, inorganic material and the organic-inorganic composite material.In addition, dielectric film can be porous or atresia.
For example, dielectric film can comprise single-phase or heterogeneous porous low k film, and this porous low k film comprises that structure forms material and the hole produces material.Structure forms material can comprise atom, molecule or the molecule fragment that obtains from structure formation presoma.The hole produces material can comprise atom, molecule or the molecule fragment that obtains from hole generation presoma (for example, pore former).Single-phase or heterogeneous porous low k film can have than higher dielectric constant after the removal hole produces material before removing hole generation material.
For example, form that depositional fabric forms molecule on the surface that single-phase porous low k film can be included in substrate, this structure forms and is attached to the hole that structure forms molecule a little less than molecule has and produces the molecule pendant groups.In addition, for example, form on the surface that the two-phase porous low k film can be included in substrate that the copolymerization structure forms molecule and the hole produces molecule.
In addition, dielectric film can have moisture, water, solvent and/or cause drying and/or solidify dielectric constant before greater than other pollutants after dry and/or the curing.
Can use chemical vapour deposition (CVD) (CVD) technology or rotary coating dielectric (SOD) technology to form dielectric film, the technology that provides the Clean Track ACT 8SOD that for example can buy from Tokyo Electron Limited (TEL) and the ACT 12SOD application system.Clean TrackACT 8SOD and ACT 12SOD application system provide coating, oven dry and the tools of solidifying that is used for the SOD material.This Clean Track system can be configured to process 100mm, 200mm, 300mm and larger sized substrate.Well-known other system and the method for form dielectric film at substrate of rotary coating dielectric technical field and CVD dielectric those skilled in the art also is suitable for the present invention.
For example, dielectric film can comprise the organosilicon sill that uses the CVD technology to deposit, for example oxidized organo silane (or organosilicon).The example of above-mentioned film comprises the Black Diamond that can buy from Applied Materials company TMCVD organic silicate glass (OSG) film or the Coral that can buy from Novellus System TMCvd film.
In addition, for example, the porous dielectric film can comprise monophase materials, for example has the silicon dioxide based substrate of the organic pendant groups of terminal, and it is crosslinked to produce little space (or pore) that the organic pendant groups of this terminal suppresses in solidification process.In addition, for example, the porous dielectric film can comprise binary phase materials, for example has the silicon dioxide based substrate of organic material field trash (for example, pore former), and this organic material field trash decomposes in solidification process and evaporates.
Perhaps, dielectric film can comprise the inorganic silicate sill that uses the SOD technology to deposit, for example hydrogen silsesquioxane (HSQ) or methyl silsesquioxane (MSQ).The example of above-mentioned film comprises the Fox HSQ that can buy from Dow Corning, the Dow Corning that can buy from Dow Corning, XLK porous HSQ and the JSR LKD-5109 that can buy from JSR Microelectronics.
Perhaps, dielectric film can comprise the organic material that uses the SOD technology to deposit.The example of above-mentioned film comprises SiLK-I, SiLK-J, SiLK-H, SiLK-D, porous SiLK-T, porous SiLK-Y and the porous SiLK-Z semiconductor dielectric resin that can buy from Dow Chemical, and the FLARE that can buy from Honeywell TMAnd Nanoglass TM
This method comprises flow chart 500, and it starts from 510, i.e. the dielectric film on the drying substrates optionally in the first treatment system.The first treatment system can comprise drying system, drying system is configured to remove or part is removed one or more pollutants in the dielectric film, and pollutant for example comprises that moisture, water, solvent, hole produce material, Residual Pore and produce material, hole and produce molecule, hole and produce the fragment of molecule or any other pollutant that can the interfere with subsequent solidification process.
In 520, dielectric film is exposed to the UV radiation.Can in the second treatment system, carry out and be exposed to the UV radiation.The second treatment system can comprise cure system, and cure system is configured to by causing in dielectric film or partly causing crosslinked, carries out the UV Curing of dielectric film, for example to improve the mechanical performance of dielectric film.After dry run, can under vacuum, substrate be sent to the second treatment system from the first treatment system, pollute to reduce as far as possible.
Dielectric film be exposed to the UV radiation can comprise with dielectric film be exposed to from one or more UV lamps, one or more UV LED (light-emitting diode) or one or more UV laser or above-mentioned every in the UV radiation of two or multinomial combination in any.The UV radiation can be in the wave-length coverage from about 100 nanometers (nm) to about 600nm.Perhaps, the UV radiation can be in the wave-length coverage from about 200nm to about 400nm.Perhaps, the UV radiation can be in the wave-length coverage from about 150nm to about 300nm.Perhaps, the UV radiation can be in the wave-length coverage from about 170nm to about 240nm.Perhaps, the UV radiation can be in the wave-length coverage from about 200nm to about 240nm.
Dielectric film is being exposed in the process of UV radiation, can by substrate temperature is risen to from approximately 200 degrees centigrade heat dielectric film to about 600 degrees centigrade the hot temperature of UV.Perhaps, the scope of the hot temperature of UV can be from approximately 300 degrees centigrade to approximately 500 degrees centigrade.Perhaps, the scope of the hot temperature of UV can be from approximately 350 degrees centigrade to approximately 450 degrees centigrade.Perhaps, before dielectric film is exposed to the UV radiation or after dielectric film is exposed to the UV radiation or both, can heat dielectric film by promoting substrate temperature.Heated substrate can comprise conduction heating, Convective Heating or radiation heating or above-mentioned every in two or multinomial combination in any.
Selectable, dielectric film is being exposed in the process of UV radiation, dielectric film can be exposed to the IR radiation.With dielectric film be exposed to the IR radiation can comprise with dielectric film be exposed to from one or more IR lamps, one or more IR LED (light-emitting diode) or one or more IR laser or above-mentioned every in the IR radiation of two or multinomial combination in any.The IR radiation can from approximately 1 micron in about 25 microns wave-length coverage.Perhaps, the IR radiation can from approximately 2 microns in about 20 microns wave-length coverage.Perhaps, the IR radiation can from approximately 8 microns in about 14 microns wave-length coverage.Perhaps, the IR radiation can from approximately 8 microns in about 12 microns wave-length coverage.Perhaps, the IR radiation can from approximately 9 microns in about 10 microns wave-length coverage.
In 530, dielectric film is exposed to the IR radiation.With dielectric film be exposed to the IR radiation can comprise with dielectric film be exposed to from one or more IR lamps, one or more IR LED (light-emitting diode) or one or more IR laser or above-mentioned every in the IR radiation of two or multinomial combination in any.The IR radiation can from approximately 1 micron in about 25 microns wave-length coverage.Perhaps, the IR radiation can from approximately 2 microns in about 20 microns wave-length coverage.Perhaps, the IR radiation can from approximately 8 microns in about 14 microns wave-length coverage.Perhaps, the IR radiation can from approximately 8 microns in about 12 microns wave-length coverage.Perhaps, the IR radiation can from approximately 9 microns in about 10 microns wave-length coverage.Be exposed to the IR radiation can betide be exposed to before the UV radiation, be exposed in the process of UV radiation or be exposed to after the UV radiation or above-mentioned every in two or the multinomial combination in any.
In addition, dielectric film is being exposed in the process of IR radiation, can by substrate temperature is risen to from approximately 200 degrees centigrade heat dielectric film to about 600 degrees centigrade the hot temperature of UV.Perhaps, the scope of the hot temperature of UV can be from approximately 300 degrees centigrade to approximately 500 degrees centigrade.Perhaps, the scope of the hot temperature of UV can be from approximately 350 degrees centigrade to approximately 450 degrees centigrade.Perhaps, before dielectric film is exposed to the UV radiation or after dielectric film is exposed to the UV radiation or both, can heat dielectric film by promoting substrate temperature.Heated substrate can comprise conduction heating, Convective Heating or radiation heating or above-mentioned every in two or multinomial combination in any.
As mentioned above, in the process that is exposed to the IR radiation, can heat dielectric film by absorbing the IR energy.But heating can also comprise by substrate being positioned on the substrate support and the conductive heated substrate with firing equipment heated substrate support.For example, firing equipment can comprise stratie.
The inventor recognizes, the energy level (hv) that transmits in the different phase of solidification process can change.Solidification process can comprise for removing moisture and/or pollutant, removal hole and produces material, decomposes that the hole produces material, produces cross-linked evocating agent, dielectric film is crosslinked and make the process of the diffusion of cross-linked evocating agent.Each process may need different energy levels and the different speed that transfers energy to dielectric film.
For example, in the process of removing hole generation material, can promote the removal process by absorbing photon under the IR wavelength.The inventor finds, is exposed to the IR radiation than heating or is exposed to the more effective promotion of UV radiant energy and remove hole generation material.
In addition, for example, in the process of removing hole generation material, can promote the removal process by making the hole produce material breakdown.The removal process can comprise by be exposed to the UV radiation auxiliary be exposed to the IR radiation.The inventor finds, is exposed to the UV radiation and can passes through to promote to have the removal process that is exposed to the IR radiation from the key of separating between hole generation material (for example, the hole produces molecule and/or the hole produces molecule fragment) and the structure formation material.For example, by promoting removal process and/or decomposable process at the lower photon that absorbs of UV wavelength (for example, approximately 300nm to about 450nm).
In addition, for example, in the process that produces cross-linked evocating agent, can promote the initator production process by the key that uses structure to form in the material from separating photon and the phonon of inducting.The inventor finds, can promote the initator production process by being exposed to the UV radiation.For example, key need to have the energy level that is less than or equal to approximately 300 to 400nm wavelength from solution.
In addition, for example, in cross-linking process, generate and the heat energy of restructuring can promote cross-linking process by enough keys.The inventor finds, by be exposed to IR radiation or heating or both, can promote crosslinked.For example, key generates and restructuring may need to have approximately the energy level of 9 microns wavelength, and is for example corresponding with the main absworption peak in the siloxy group organosilicate low-k materials.
Can in identical treatment system, carry out dielectric film dry run, dielectric film be exposed to IR radiation and dielectric film be exposed to the UV radiation, perhaps can divide and carry out above-mentioned each process in other treatment system.For example, can in the first treatment system, carry out dry run, can in the second treatment system, carry out and be exposed to the IR radiation and be exposed to the UV radiation.Perhaps, for example, can from execution be exposed to carry out dielectric film in the different treatment system of the treatment system of UV radiation be exposed to the IR radiation.That can carry out dielectric film in the 3rd treatment system is exposed to the IR radiation, wherein can under vacuum substrate be sent to the 3rd treatment system from the second treatment system, to reduce pollutant as far as possible.
In addition, in the process that is exposed to the UV radiation, be exposed to the process and selectable dry run of IR radiation after, can carry out reprocessing to dielectric film in the selectable after-treatment system being configured to improve the dielectric film that solidifies.For example, reprocessing can comprise the heating dielectric film.Perhaps, for example, reprocessing can be included in rotary coating or another tunic of vapour deposition on the dielectric film, to increase adhesion strength or the raising hydrophobicity to follow-up film.Perhaps, for example, in after-treatment system, can realize promoting adhesion strength by slightly bombarding dielectric film with ion.In addition, reprocessing can comprise carrying out at another tunic of dielectric film deposition, cleaning dielectric film or with dielectric film and is exposed to one or more in the plasma.
With reference now to Fig. 2,, the method for processing the dielectric film on the substrate is described according to another embodiment.The method comprises flow chart 600, and it starts from 610, namely forms dielectric film (for example low K dielectrics film) at substrate.Selectable, can carry out dry run, to remove or partly to remove one or more pollutants in the dielectric film, pollutant for example comprises moisture, water, solvent or meeting interfere generation high-quality low K dielectrics film or disturbs any other pollutant of carrying out subsequent process.
In 620, dielectric film is exposed to an IR radiation.For example, dielectric film is exposed to an IR radiation can promote from dielectric film to remove fully or part remove moisture, water, pollutant, hole produce material, Residual Pore produce material, comprise the hole produce hole that molecule and/or hole produce the fragment of molecule produce material, cross-linked evocating agent or remaining cross-linked evocating agent or above-mentioned every two or multinomial combination in any.Can within the sufficiently long duration, carry out dielectric film is exposed to radiation, with from dielectric film basic remove all moistures, water, pollutant, hole produce material, Residual Pore produce material, comprise the hole produce hole that molecule and/or hole produce the fragment of molecule produce material, cross-linked evocating agent or remaining cross-linked evocating agent or above-mentioned every two or multinomial combination in any.
With dielectric film be exposed to an IR radiation can comprise with dielectric film be exposed to polychrome IR radiation, monochromatic IR radiation, pulse IR radiation or continuous wave IR radiation or above-mentioned every in two or multinomial combination.For example, dielectric film being exposed to an IR radiation can comprise the IR radiation of dielectric film exposure from one or more IR lamps, one or more IR LED (light-emitting diode) or one or more IR lasers or its combination.The one IR radiation can comprise up to about 20W/cm 2Power density.For example, an IR radiation can comprise from about 1W/cm 2Arrive approximately 20W/cm 2Power density in the scope.The one IR radiation can approximately 1 micron in about 25 microns wave-length coverage.Perhaps, an IR radiation can from approximately 2 microns in about 20 microns wave-length coverage.Perhaps, an IR radiation can from approximately 8 microns in about 14 microns wave-length coverage.Perhaps, an IR radiation can from approximately 8 microns in about 12 microns wave-length coverage.Perhaps, an IR radiation can from approximately 9 microns in about 10 microns wave-length coverage.In the process that is exposed to an IR radiation, can change an IR power density or an IR wavelength or both all can change.
Selectable, in the process that is exposed to an IR radiation, can by substrate temperature is risen to from approximately 200 degrees centigrade heat dielectric film to about 600 degrees centigrade an IR heat treatment temperature of scope.Perhaps, an IR heat-treatment temperature range can be from approximately 300 degrees centigrade to approximately 500 degrees centigrade.Perhaps, an IR heat-treatment temperature range can be from approximately 350 degrees centigrade to approximately 450 degrees centigrade.
In 630, after being exposed to an IR radiation, dielectric film is exposed to the UV radiation.For example, substrate is exposed to the UV radiation and can promotes in dielectric film, to produce cross-linked evocating agent (or free radical).
With dielectric film be exposed to the UV radiation can comprise with dielectric film be exposed to polychrome UV radiation, monochromatic UV radiation, pulse UV radiation or continuous wave UV radiation or above-mentioned every in two or multinomial combination.For example, dielectric film is exposed to the UV radiation can comprise with dielectric film be exposed to from one or more UV lamps, one or more UV LED (light-emitting diode) or one or more UV laser or above-mentioned every in the UV radiation of two or multinomial combination in any.The UV radiation can comprise from about 0.1mW/cm 2Arrive approximately 2000mW/cm 2Power density.The UV radiation can be in the wave-length coverage from about 100 nanometers (nm) to about 600nm.Perhaps, the UV radiation can be in the wave-length coverage from about 200nm to about 400nm.Perhaps, the UV radiation can be in the wave-length coverage from about 150nm to about 300nm.Perhaps, the UV radiation can be in the wave-length coverage from about 170nm to about 240nm.Perhaps, the UV radiation can be in the wave-length coverage from about 200nm to about 240nm.
Selectable, in the process that is exposed to the UV radiation, can by substrate temperature is risen to from approximately 200 degrees centigrade heat dielectric film to about 600 degrees centigrade the UV heat treatment temperature of scope.Perhaps, the UV heat-treatment temperature range is from approximately 300 degrees centigrade to approximately 500 degrees centigrade.Perhaps, the UV heat-treatment temperature range is from approximately 350 degrees centigrade to approximately 450 degrees centigrade.
In 640, dielectric film is exposed to the 2nd IR radiation.For example, dielectric film is exposed to the 2nd IR radiation and can promotes the crosslinked of dielectric film.
With dielectric film be exposed to the 2nd IR radiation can comprise with dielectric film be exposed to polychrome IR radiation, monochromatic IR radiation, pulse IR radiation or continuous wave IR radiation or above-mentioned every in two or multinomial combination.For example, dielectric film being exposed to the 2nd IR radiation can comprise the IR radiation of dielectric film exposure from one or more IR lamps, one or more IR LED (light-emitting diode) or one or more IR lasers or its combination.The 2nd IR radiation can comprise up to about 20W/cm 2Power density.For example, the 2nd IR radiation can comprise from about 1W/cm 2Arrive approximately 20W/cm 2Power density in the scope.The 2nd IR radiation can approximately 1 micron in about 25 microns wave-length coverage.Perhaps, the 2nd IR radiation can from approximately 2 microns in about 20 microns wave-length coverage.Perhaps, the 2nd IR radiation can from approximately 8 microns in about 14 microns wave-length coverage.Perhaps, the 2nd IR radiation can from approximately 8 microns in about 12 microns wave-length coverage.Perhaps, the 2nd IR radiation can from approximately 9 microns in about 10 microns wave-length coverage.In the process that is exposed to the 2nd IR radiation, can change the 2nd IR power density or the 2nd IR wavelength or both all can change.
Selectable, in the process that is exposed to the 2nd IR radiation, can by substrate temperature is risen to from approximately 200 degrees centigrade heat dielectric film to about 600 degrees centigrade the 2nd IR heat treatment temperature of scope.Perhaps, the 2nd IR heat-treatment temperature range can be from approximately 300 degrees centigrade to approximately 500 degrees centigrade.Perhaps, the 2nd IR heat-treatment temperature range can be from approximately 350 degrees centigrade to approximately 450 degrees centigrade.
Selectable, at least a portion process that is exposed to an IR radiation, dielectric film can be exposed to the 2nd UV radiation.For example, dielectric film is exposed to the 2nd UV radiation can promote to destroy or from the key of separating in the dielectric film, to promote to remove above-mentioned various materials.The 2nd UV radiation can comprise from about 0.1mW/cm 2Arrive approximately 2000mW/cm 2Power density.The 2nd UV radiation can be in the wave-length coverage from about 100 nanometers (nm) to about 600nm.Perhaps, the 2nd UV radiation can be in the wave-length coverage from about 200nm to about 400nm.Perhaps, the 2nd UV radiation can be in the wave-length coverage from about 150nm to about 300nm.Perhaps, the 2nd UV radiation can be in the wave-length coverage from about 170nm to about 240nm.Perhaps, the 2nd UV radiation can be in the wave-length coverage from about 200nm to about 240nm.
Selectable, in being exposed at least a portion process of UV radiation, dielectric film can be exposed to the 3rd IR radiation.The 3rd IR radiation can comprise up to about 20W/cm 2Power density.For example, the 3rd IR radiation can comprise from about 1W/cm 2Arrive approximately 20W/cm 2Power density in the scope.The 3rd IR radiation can approximately 1 micron in about 25 microns wave-length coverage.Perhaps, the 3rd IR radiation can from approximately 2 microns in about 20 microns wave-length coverage.Perhaps, the 3rd IR radiation can from approximately 8 microns in about 14 microns wave-length coverage.Perhaps, the 3rd IR radiation can from approximately 8 microns in about 12 microns wave-length coverage.Perhaps, the 3rd IR radiation can from approximately 9 microns in about 10 microns wave-length coverage.In the process that is exposed to the 3rd IR radiation, can change the 3rd IR power density or the 3rd IR wavelength or both all can change.
Be exposed to the UV radiation or be exposed to an IR radiation or both before, can by substrate temperature is risen to from approximately 200 degrees centigrade heat dielectric film to about 600 degrees centigrade the in advance heat treatment temperature of scope.Perhaps, heat-treatment temperature range can be from approximately 300 degrees centigrade to approximately 500 degrees centigrade in advance.Perhaps, heat-treatment temperature range can be from approximately 350 degrees centigrade to approximately 450 degrees centigrade in advance.
Be exposed to the UV radiation or be exposed to an IR radiation or both after, can by substrate temperature is risen to from approximately 200 degrees centigrade heat dielectric film to about 600 degrees centigrade the subsequent heat treatment temperature of scope.Perhaps, the subsequent heat treatment temperature range can be from approximately 300 degrees centigrade to approximately 500 degrees centigrade.Perhaps, the subsequent heat treatment temperature range can be from approximately 350 degrees centigrade to approximately 450 degrees centigrade.
According to another embodiment, the method for low-k (low k) film on the cured substrate is described.The method is included in and forms the low K dielectrics film on the substrate, and wherein the low K dielectrics film comprises that structure forms material and the hole produces material.Within the first duration, the low K dielectrics film is exposed to infrared (IR) radiation.Within the first duration, the low K dielectrics film is exposed to ultraviolet (UV) radiation reached for the second duration, wherein the second duration was the part of the first duration, and the second duration originated in the very first time after the first duration of beginning, and ended at the second time before finishing for the first duration.
With reference to figure 3, the method for low-k (low k) dielectric film on the cured substrate is described according to another embodiment.The method comprises flow chart 700, and it starts from 710,, forms the low K dielectrics film at substrate that is, and wherein the low K dielectrics film comprises that structure forms material and the hole produces material.In 720, the basic hole of removing produces material from the low K dielectrics film, to form the porous low k dielectric film.In addition, in 720, can substantially remove cross-linked inhibitor.Cross-linked inhibitor comprise moisture, water, pollutant, hole produce material, Residual Pore produce material or comprise the hole produce hole that molecule and/or hole produce the fragment of molecule produce material or above-mentioned every in two or multinomial combination in any.
In 730, after removing hole generation material, in the porous low k dielectric film, produce cross-linked inhibitor.In 740, after producing cross-linked inhibitor, make the structure formation material of porous low k dielectric film occur crosslinked.
In addition, said method can optionally comprise the key that destroys in the low K dielectrics film, to promote removal.
With reference to figure 4, the method for low-k (low k) dielectric film on the cured substrate is described according to another embodiment.The method comprises flow chart 800, and it starts from 810,, forms the low K dielectrics film at substrate that is, and wherein the low K dielectrics film comprises that structure forms material and cross-linked inhibitor.Cross-linked inhibitor comprise moisture, water, pollutant, hole produce material, Residual Pore produce material, a little less than be attached to pendant groups, hole that structure forms material produce fragment that molecule or hole produce molecule or above-mentioned every in two or multinomial combination in any.For example, cross-linked inhibitor can comprise that the hole produces material, wherein has low K dielectrics film that structure forms material and cross-linked inhibitor and comprises and structure is formed molecule and the hole produces the molecule copolymerization on the surface of substrate.In addition, for example, cross-linked inhibitor can comprise that the hole produces material, the low K dielectrics film that wherein has structure formation material and cross-linked inhibitor comprises, structure is formed molecule deposition on the surface of substrate, and this structure forms and is attached to the hole generation molecule pendant groups that structure forms molecule a little less than molecule has.
In 820, the low K dielectrics film is exposed to infrared (IR) radiation.With the low K dielectrics film be exposed to the IR radiation can comprise with the low K dielectrics film be exposed to polychrome IR radiation, monochromatic IR radiation, pulse IR radiation or continuous wave IR radiation or above-mentioned every in two or multinomial combination.The low K dielectrics film is exposed to the IR radiation can comprises the low K dielectrics film is exposed to have from the approximately IR radiation of 8 microns wavelength in about 12 micrometer ranges.
Selectable, the low K dielectrics film can be exposed to ultraviolet (UV) radiation.With the low K dielectrics film be exposed to the UV radiation can comprise with the low K dielectrics film be exposed to polychrome UV radiation, monochromatic UV radiation, pulse UV radiation or continuous wave UV radiation or above-mentioned every in two or multinomial combination.The low K dielectrics film is exposed to the UV radiation can comprises the UV radiation that the low K dielectrics film is exposed to the wavelength in having from about 100 nanometers to about 600 nanometer range.Being exposed to the UV radiation can be after being exposed to the IR radiation.Perhaps, being exposed to the UV radiation can betide in the overall process that is exposed to the IR radiation or in the part process.For example, occur in and be exposed to the wavelength of UV radiation in can comprising from about 300 interior rice to about 450 nanometer range in the process that is exposed to the IR radiation.
In 830, regulate the surplus of cross-linked inhibitor, with the porosity of the pore size of the optical property of the electric property of the mechanical performance of adjusting the low K dielectrics film, low K dielectrics film, low K dielectrics film, low K dielectrics film or low K dielectrics film or above-mentioned every in two or multinomial combination.The surplus of cross-linked inhibitor can affect other performances that comprise concentration of carbon, hydrophobicity and plasma resistance.
Mechanical performance can comprise modulus of elasticity (E) or hardness (H), perhaps both has.Electric property can comprise dielectric constant (k).Optical property can comprise refractive index (n).
The surplus of regulating cross-linked inhibitor can be included in and substantially remove cross-linked inhibitor in the process that is exposed to the IR radiation from the low K dielectrics film.For example, any the low K dielectrics film is exposed to ultraviolet (UV) radiation before, can substantially remove cross-linked inhibitor.
The surplus of perhaps, regulating cross-linked inhibitor can comprise regulate duration of being exposed to the IR radiation, be exposed to the IR intensity of IR radiation or be exposed to the IR radiation IR dosage or above-mentioned every in two or multinomial combination.
The surplus of perhaps, regulating cross-linked inhibitor can comprise regulate duration of being exposed to the UV radiation, be exposed to the UV intensity of UV radiation or be exposed to the UV radiation UV dosage or above-mentioned every in two or multinomial combination.
Said method can also be included in to be exposed to after the IR radiation and the low K dielectrics film is exposed to ultraviolet (UV) radiation and the low K dielectrics film is exposed to the 2nd IR radiation in the process that is exposed to the UV radiation.In addition, said method is exposed to the 3rd IR radiation with the low K dielectrics film after can also being included in and being exposed to the UV radiation.
In addition, said method can be included in to be exposed to and the low K dielectrics film is exposed to (UV) radiation of the first ultraviolet and in the process that is exposed to the IR radiation low K dielectrics film is exposed to the 2nd UV radiation after the IR radiation, wherein is exposed to the 2nd UV radiation and is different from and is exposed to a UV radiation.The surplus of regulating cross-linked inhibitor can comprise duration that is exposed to the 2nd UV radiation of regulating in the process that is exposed to the IR radiation, be exposed to the UV intensity of the 2nd UV radiation or be exposed to the 2nd UV radiation UV dosage or above-mentioned every in two or multinomial combination.Dielectric film is exposed to the wavelength of the 2nd UV radiation in can comprising from about 300 nanometers to about 450 nanometer range.
Selectable, can be exposed between the IR radiation, be exposed in the process of IR radiation or after being exposed to the IR radiation or above-mentioned every in heating low K dielectrics film in two or the multinomial combination in any.
Can carry out IR under vacuum condition or under the controlled atmosphere processes.
According to an example, structure forms material can comprise diethoxymethyl silane (DEMS), and the hole produces material can comprise terpenes; Norborene; The hot ethene of 5-dimethyl-Isosorbide-5-Nitrae-encircle; Decahydronaphthalenes; Ethylbenzene; Or citrene; Perhaps above-mentioned every in two or multinomial combination.For example, generation material in hole can comprise α-terpinene (ATRP).
According to another example, the method for the porous low k dielectric film on the preparation substrate is described.The method comprises: use chemical vapour deposition (CVD) (CVD) technique to form at substrate and contain the SiCOH dielectric film, wherein CVD technique uses diethoxymethyl silane (DEMS) and hole to produce material; Within sufficiently long the first duration, will contain the SiCOH dielectric film and be exposed to the IR radiation, produce material with basic removal hole; After being exposed to the IR radiation, within the second duration, will containing SiCOH dielectric film film and be exposed to the UV radiation; And in the part process or all processes of the second duration, heating contains the SiCOH dielectric film.
To contain the SiCOH dielectric film is exposed to the IR radiation and can comprises having from the approximately IR radiation of 9 microns wavelength of (for example, 9.4 microns) in about 10 micrometer ranges.To contain the SiCOH dielectric film be exposed to the UV radiation can comprise have from about 170 nanometers to about 240 nanometer range in the UV radiation of wavelength of (for example, 222 nanometers).Heating contain the SiCOH dielectric film can comprise with substrate be heated to from approximately 300 degrees centigrade in about 500 degrees centigrade temperature range.
Can in minute other process chamber, carry out and be exposed to the IR radiation and be exposed to the UV radiation, perhaps can in identical process chamber, carry out and be exposed to the IR radiation and be exposed to the UV radiation.
The hole produces material can comprise terpenes; Norborene; The hot ethene of 5-dimethyl-Isosorbide-5-Nitrae-encircle; Decahydronaphthalenes; Ethylbenzene; Or citrene; Perhaps above-mentioned every in two or multinomial combination.For example, generation material in hole can comprise α-terpinene (ATRP).
Table 1 provides the data of the porous low k dielectric film that is used for wanting to have approximately 2.2 to 2.25 dielectric constant.The porous low k dielectric film comprises that porous contains the SiCOH dielectric film, and it is to use the structure that comprises diethoxymethyl silane (DEMS) to form material with CVD technique to produce material formation with the hole that comprises α-terpinene (ATRP) that this porous contains the SiCOH dielectric film.At first will have nominal thickness (dust, A) and " original " of refractive index (n) contain the SiCOH dielectric film and be exposed to the IR radiation, produce " behind the IR " thickness (A) and " behind the IR " refractive index (n).Afterwards, be exposed to the UV radiation in heating " behind the IR " when containing the SiCOH dielectric film, produce " behind the UV+heating " thickness (A) and " behind the UV+heat " refractive index (n).
Table 1
Figure BPA00001214246900181
Or reference table 1, provide behind the IR and UV after+shrinkage (%) of the film thickness of heating.In addition, provide the UV wavelength and be exposed to the UV radiated time (minute, min).In addition, dielectric constant (k) and modulus of elasticity (E) are provided (GPa) for the curing porous low K dielectrics film that generates.As shown in table 1, before the UV radiation, use IR radiation and heating to cause dielectric constant less than 2.3, low to 2.09.In addition, can realize that (that is, k=2.11), the while also can be realized acceptable mechanical performance (that is, E=4.44GPa) to low-k.
For relatively, in the situation that be not exposed to the IR radiation solidify use that identical CVD technique forms contain the SICOH dielectric film.Be not exposed to the IR radiation, the scope of " behind the UV+heating " refractive index is from approximately 1.408 to approximately 1.434, the result that this provides in the table 1.Higher refractive index shows has excessive Residual Pore to produce material (for example, few pore membrane) and/or excessive film oxidation in the film.
According to another example, the method for preparing the porous low k dielectric film at substrate has been described.The method comprises: use chemical vapour deposition (CVD) (CVD) technique to form at substrate and contain the SiCOH dielectric film, wherein CVD technique uses diethoxymethyl silane (DEMS) and hole to produce material; Within sufficiently long the first duration, will contain the SiCOH dielectric film and be exposed to an IR radiation, produce material with basic removal hole; After being exposed to an IR radiation, within the second duration, will containing the SiCOH dielectric film and be exposed to the UV radiation; In the process that is exposed to the UV radiation, will contain the SiCOH dielectric film and be exposed to the 2nd IR radiation and reached for the 3rd duration; And after being exposed to the UV radiation, within the 4th duration, will containing the SiCOH dielectric film and be exposed to the 3rd IR radiation.
Said method can also be included in that heating contains the SiCOH dielectric film in the part process of the second duration or all processes.In addition, the second duration is can the second duration consistent.
To contain the SiCOh dielectric film is exposed to an IR radiation and can comprises having from the approximately IR radiation of 9 microns wavelength of (for example, 9.4 microns) in about 10 micrometer ranges.To contain the SiCOh dielectric film be exposed to the UV radiation can comprise have from about 170 nanometers to about 230 interior meters scopes in (for example, 222nm) the UV radiation of wavelength.To contain the SiCOH dielectric film is exposed to the 2nd IR radiation and can comprises having from the approximately IR radiation of 9 microns wavelength of (for example, 9.4 microns) in about 10 micrometer ranges.To contain the SiCOH dielectric film is exposed to the 3rd IR radiation and can comprises having from the approximately IR radiation of 9 microns wavelength of (for example, 9.4 microns) in about 10 micrometer ranges.Heating contains the SiCOH dielectric film and can comprise substrate is heated to from about 300 degrees centigrade of temperature in about 500 degrees centigrade of scopes.
The hole produces material can comprise terpenes; Norborene; The hot ethene of 5-dimethyl-Isosorbide-5-Nitrae-encircle; Decahydronaphthalenes; Ethylbenzene; Or citrene; Perhaps above-mentioned every in two or multinomial combination.For example, generation material in hole can comprise α-terpinene (ATRP).
Table 2 provides the data of the porous low k dielectric film that is used for wanting to have approximately 2.2 to 2.25 dielectric constant.The porous low k dielectric film comprises that porous contains the SiCOH dielectric film, and it is to use the structure that comprises diethoxymethyl silane (DEMS) to form material with CVD technique to produce material formation with the hole that comprises α-terpinene (ATRP) that this porous contains the SiCOH dielectric film.Solidify with two kinds of processes and to have nominal thickness (dust, A) and " original " of refractive index (n) contain the SiCOH dielectric film, that is: (1) common UV/ thermal process (that is, not being exposed to the IR radiation); (2) solidification process wherein is exposed to original membrane IR radiation (9.4 microns), then exposes approximately IR radiation (9.4 microns) and UV radiation (222nm), then is exposed to IR radiation (9.4 microns).
Table 2
Table 2 provides for " behind the UV/heat " thickness (A) of common UV/ thermal process and " behind the UV/heat " refractive index (n), and for " behind the IR+UV/IR+IR " thickness (A) of IR+UV/IR+IR process and " behind the IR+UV/IR+IR " refractive index (n).In addition, provide behind the UV/heat and IR after+shrinkage (%) of the film thickness of UV/IR+IR.In addition, provide dielectric constant (k), modulus of elasticity (E) (GPa) for the curing porous low K dielectrics film that generates and hardness (H) (GPa).As shown in table 2, before UV radiation and heating, and in the process that is exposed to the UV radiation or afterwards, use the IR radiation to cause dielectric constant less than 2.1.In addition, can realize that (that is, k=2.1), the while also can be realized receivable mechanical performance (that is, E=4.71GPa and H=0.46GPa) to low-k.Comparatively speaking, the IR+UV/IR+IR solidification process has produced lower dielectric constant (k=2.1) and less film thickness shrinkage.In addition, the mechanical performance of two solidification processs (E and H) is basic identical.
The result, use is exposed to the IR radiation and is exposed to the UV radiation and can cause forming diethoxymethyl silane (DEMS) hole, Quito dielectric film, and it comprises approximately 2.1 or less dielectric constant, approximately 1.31 or less refractive index, approximately 4GPa or larger modulus of elasticity and approximately 0.45GPa or larger hardness.
Table 3 provides the data of the porous low k dielectric film that is used for wanting to have approximately 2 dielectric constant.The porous low k dielectric film comprises that porous contains the SiCOH dielectric film, and it is to use the structure that comprises diethoxymethyl silane (DEMS) to form material with CVD technique to produce material formation with the hole that comprises α-terpinene (ATRP) that this porous contains the SiCOH dielectric film.Solidify original SiCOH dielectric film, that is: (1) common UV/ thermal process (that is, not being exposed to the IR radiation) of containing with four kinds of processes; (2) solidification process wherein only is exposed to original membrane IR radiation (9.4 microns); (3) solidification process, it is exposed to IR radiation (9.4 microns) with original membrane, then is exposed to common UV/ thermal process; (4) solidification process wherein is exposed to original membrane IR radiation (9.4 microns), then exposes approximately IR radiation (9.4 microns) and UV radiation (222nm), then is exposed to IR radiation (9.4 microns).
Table 3
Figure BPA00001214246900211
Table 3 provide the refractive index (n) that produces after each solidification process, shrinkage (%), dielectric constant (k), modulus of elasticity (E) (GPa) and hardness (H) (GPa).As shown in table 3, use IR radiation (being with or without the UV radiation) to cause dielectric constant less than 1.7 (with comparing greater than 1.9).When only solidifying original membrane with the IR radiation, can realize that (that is, k=1.66), the while also can be realized acceptable mechanical performance (that is, E=1.2GPa and H=0.1GPa) to low-k.But, when solidifying original membrane with IR radiation and UV radiation, can realize that low-k (that is, k=1.68), can also realize simultaneously the mechanical performance (that is, E=2.34GPa and H=0.28GPa) that improves.In addition, use the solidification process of IR radiation to produce lower dielectric constant (k=1.66 to 1.68), and less film thickness shrinkage.In addition, when using the IR radiation, can improve mechanical performance (E and H) by using the UV radiation.
The result, use IR radiation and UV radiation can cause forming diethoxymethyl silane (DEMS) hole, Quito dielectric film, it comprises approximately 1.7 or less dielectric constant, approximately 1.17 or less refractive index, approximately 1.5GPa or larger modulus of elasticity and approximately 0.2GPa or larger hardness.
According to an embodiment, Fig. 5 A shows the treatment system 1 for the treatment of the dielectric film on the substrate according to an embodiment.Treatment system 1 comprises drying system 20 and the cure system 10 that is connected with drying system 20.For example, drying system 10 can be configured to remove one or more pollutants, the hole produces the cross-linked inhibitor in material and/or the dielectric film, perhaps make above-mentioned every enough levels that is reduced to, described cross-linked inhibitor for example comprise moisture, water, solvent, pollutant, hole produce material, Residual Pore produce material, a little less than be attached to any other pollutant that pendant groups, hole that structure forms material produce the fragment of fragment that molecule, hole produce molecule, cross-linked inhibitor, cross-linked inhibitor or can disturb solidification process performed in the cure system 10.
For example, after dry run, fully reduce the specific pollutants that is present in the dielectric film before the dry run, can comprise and reduce approximately 10% to about 100% specific pollutants.The degree that can use Fourier transform infrared (FTIR) spectrum or mass-spectrometer measurement pollutant to reduce.Perhaps, for example, can be from approximately 50% to approximately fully reducing the specific pollutants that is present in the dielectric film in 100% the scope.Perhaps, for example, can be from approximately 80% to approximately fully reducing the specific pollutants that is present in the dielectric film in 100% the scope.
Or with reference to figure 5A, cure system 10 can be configured to by causing in dielectric film or partly causing the crosslinked dielectric film that solidifies, for example to improve the mechanical performance of dielectric film.In addition, cure system 10 can be configured to by causing or partly cause that crosslinked generation, removal hole produce material and decomposition hole generation material etc. and solidify dielectric film.Cure system 10 can comprise one or more radiation sources, and the substrate that radiation source is configured to have dielectric film is exposed to electromagnetism (EM) radiation under multiple electromagnetic wave.For example, one or more radiation sources can comprise infrared (IR) radiation source and ultraviolet (UV) radiation source.Can be simultaneously, order or each other partly overlapping execution substrate is exposed to UV radiation and IR radiation.In the order process-exposed, for example, can in the situation that substrate is exposed to before the IR radiation or substrate is exposed to after the IR radiation or both carry out substrate be exposed to the UV radiation.In addition, in the order process-exposed, for example, can in the situation that substrate is exposed to before the UV radiation or substrate is exposed to after the UV radiation or both carry out substrate be exposed to the IR radiation.
For example, the IR radiation can comprise from about 1 micron IR radiation source in about 25 micrometer ranges.In addition, for example, the IR radiation can from approximately 2 microns to approximately 20 microns or from approximately 8 microns to approximately 14 microns or from approximately 8 microns to approximately 12 microns or from approximately 9 microns to approximately changing in 10 microns the scope.In addition, for example, the UV radiation can comprise the UV band source that produces the radiation interior from about 100 nanometers (nm) to about 600nm scope.In addition, for example, the UV radiation can change from about 200nm to about 400nm or from 150nm to about 300nm or from about 170nm to about 240nm or in the scope from about 200nm to about 240nm.
Simultaneously, shown in Fig. 5 A, transfer system 30 can be connected to drying system 20, passes in and out drying system 20 and cure system 10 so that substrate is transmitted, and can exchange substrates with polynary manufacturing system 40.Transfer system 30 can transmit turnover drying system 20 and cure system 10 with substrate when keeping vacuum environment.For example, dry and cure system 20,10 and transfer system 30 can be included in the processing unit of polynary manufacturing system 40 the insides.For example, polynary manufacturing system 40 can transmit substrate the turnover processing unit, and this processing unit comprises the equipment such as etch system, depositing system, application system, patterning system, metering system.Process in order to occur in isolated the first and second systems can connect each system with barrier assembly 50.For example, barrier assembly 50 can comprise at least a assembly in the gate valve assembly that heat-insulating thermal insulation assembly is provided and vacuum insulation is provided.Can place dry and cure system 20 and 10 and transfer system 30 with any order.
That can carry out substrate in drying system 20 or cure system 10 or independent treatment system (not shown) is exposed to the IR radiation.
Perhaps, in another embodiment of the present invention, Fig. 5 B shows the treatment system 100 for the treatment of the dielectric film on the substrate.Treatment system 100 comprises arranges " focus type " of drying system 110 and cure system 120.For example, drying system 110 can be configured to remove one or more pollutants, the hole produces the cross-linked inhibitor in material and/or the dielectric film, perhaps make above-mentioned every enough levels that is reduced to, described cross-linked inhibitor for example comprise moisture, water, solvent, pollutant, hole produce material, Residual Pore produce material, a little less than be attached to any other pollutant that pendant groups, hole that structure forms material produce the fragment of fragment that molecule, hole produce molecule, cross-linked inhibitor, cross-linked inhibitor or can disturb solidification process performed in the cure system 120.
In addition, for example, cure system 120 can be configured to by causing in dielectric film or partly causing the crosslinked dielectric film that solidifies, for example to improve the mechanical performance of dielectric film.In addition, treatment system 100 can optionally comprise after-treatment system 140, and it is configured to improve the dielectric film of curing.For example, reprocessing can comprise heating.In addition, for example, reprocessing can be included in rotary coating or another tunic of vapour deposition on the dielectric film, to increase adhesion strength or the raising hydrophobicity to follow-up film.Perhaps, for example, in after-treatment system by substrate being exposed to plasma and slightly bombarding dielectric film with ion and can realize promoting adhesion strength.
Simultaneously, shown in Fig. 5 B, transfer system 130 can be connected to drying system 110 substrate is transmitted turnover drying system 110, can be connected to cure system 120 substrate is transmitted turnover cure system 120, can be connected to after-treatment system 140 substrate is transmitted turnover after-treatment system 140.Transfer system 130 can be with substrate transmission turnover drying system 110, cure system 120 and selectable after-treatment system 140 when keeping vacuum environment.
In addition, transfer system 130 can exchange substrates with one or more cassette of substrates (not shown).Although only show two or three treatment systems among Fig. 5 B, for example comprise that other treatment systems of the equipment such as etch system, depositing system, application system, patterning system, metering system also can connect transfer system 130.Process in order to occur in isolated drying and the cure system can connect each system with barrier assembly 150.For example, barrier assembly 150 can comprise at least a assembly in the gate valve assembly that heat-insulating thermal insulation assembly is provided and vacuum insulation is provided.In addition, for example, transfer system 130 can serve as the part of barrier assembly 150.
That can carry out substrate in cure system 110 or drying system 120 or independent treatment system (not shown) is exposed to the IR radiation.
Perhaps, in another embodiment of the present invention, Fig. 5 C shows the treatment system 200 for the treatment of the dielectric film on the substrate.Treatment system 200 comprises drying system 210 and cure system 220.For example, drying system 210 can be configured to remove one or more pollutants, the hole produces the cross-linked inhibitor in material and/or the dielectric film, perhaps make above-mentioned every enough levels that is reduced to, described cross-linked inhibitor for example comprise moisture, water, solvent, pollutant, hole produce material, Residual Pore produce material, a little less than be attached to any other pollutant that pendant groups, hole that structure forms material produce the fragment of fragment that molecule, hole produce molecule, cross-linked inhibitor, cross-linked inhibitor or can disturb solidification process performed in the cure system 220.
In addition, for example, cure system 220 can be configured to by causing in dielectric film or partly causing the crosslinked dielectric film that solidifies, for example to improve the mechanical performance of dielectric film.In addition, treatment system 200 can optionally comprise after-treatment system 240, and it is configured to improve the dielectric film of curing.For example, reprocessing can comprise heating.In addition, for example, reprocessing can be included in rotary coating or another tunic of vapour deposition on the dielectric film, to increase adhesion strength or the raising hydrophobicity to follow-up film.Perhaps, for example, in after-treatment system by substrate being exposed to plasma and slightly bombarding dielectric film with ion and can realize promoting adhesion strength.
Can (that is, stacking) level or can be vertical layout drying system 210, cure system 220 and after-treatment system 240.Simultaneously, shown in Fig. 5 C, transfer system 230 can be connected to drying system 210 substrate is transmitted turnover drying system 210, can be connected to cure system 220 substrate is transmitted turnover cure system 220, can be connected to after-treatment system 240 substrate is transmitted turnover after-treatment system 240.Transfer system 230 can be with substrate transmission turnover drying system 210, cure system 220 and selectable after-treatment system 240 when keeping vacuum environment.
In addition, transfer system 230 can exchange substrates with one or more cassette of substrates (not shown).Although only show three treatment systems among Fig. 5 C, for example comprise that other treatment systems of the equipment such as etch system, depositing system, application system, patterning system, metering system also can connect transfer system 230.Process in order to occur in isolated the first and second systems can connect each system with barrier assembly 250.For example, barrier assembly 250 can comprise at least a assembly in the gate valve assembly that heat-insulating thermal insulation assembly is provided and vacuum insulation is provided.In addition, for example, transfer system 230 can serve as the part of barrier assembly 250.
That can carry out substrate in cure system 210 or drying system 220 or independent treatment system (not shown) is exposed to the IR radiation.
The drying system 10 of the treatment system 1 shown in Fig. 5 A and at least one system in the cure system 20 comprise that at least two are transmitted opening, transmit opening and allow substrate to pass through through this.For example, as shown in Fig. 5 A, drying system 10 comprises that two are transmitted opening, and first transmits opening allows substrate to pass through between drying system 10 and transfer system 30, and second transmits opening allows substrate to pass through between drying system and cure system.But for the treatment system 200 shown in the treatment system 100 shown in Fig. 5 B and Fig. 5 C, each treatment system 110,120,140 and 210,220,240 comprises that respectively at least two are transmitted opening, passes through thus to allow substrate.
With reference now to Fig. 6,, shows according to another embodiment of the present invention drying system 300.Drying system 300 comprises hothouse 310, and it is configured as the environment that drying is placed on the substrate 325 generation cleanliness without any pollution things on the substrate support 320.Drying system 300 can comprise annealing device 330, this annealing device 330 is connected to hothouse 310 or substrate support 320, and is configured to make such as the pollutant such as moisture, water, residual solvent etc. by the temperature that promotes substrate 325 and evaporates.In addition, drying system 300 can comprise microwave heating appts 340, and this microwave heating appts 340 is connected to hothouse 310, and is configured in the situation that there is concussion electric field localized heating pollutant.Dry run can be utilized annealing device 330 or microwave heating appts 340 or utilize simultaneously both, to promote the dielectric film on the drying substrates 325.
Annealing device 330 can comprise in one or more embedding substrate supports 320 and be coupled to the conduction heating element of power source and temperature controller.For example, each heating element can comprise stratie, and it is coupled to the power source that is configured to provide electrical power.Perhaps, annealing device 330 can comprise one or more radiant heater elements, and it is coupled to power source and controller.For example, each radiant heater element can comprise heating lamp, and it is coupled to and is configured to provide electrical power.For example, the temperature of substrate 325 can from approximately 20 degrees centigrade in about 600 degrees centigrade scope, preferred, temperature can from approximately 200 degrees centigrade in about 600 degrees centigrade scope.For example, the temperature of substrate 325 can from approximately 300 degrees centigrade in about 500 degrees centigrade scope, perhaps from approximately 350 degrees centigrade in about 450 degrees centigrade scope.
Microwave Treatment source 340 can comprise the variable ratio frequency changer microwave source, and it is configured in whole frequency bandwidth interscan microwave frequency.Frequency change has been avoided the electric charge accumulation, and therefore can be to the harmless applied microwave dry technology of sensitive electronic devices.
In one example, drying system 300 can comprise the drying system that combines simultaneously variable frequency microwave device and annealing device, for example can be from (the 860Aviation Parkway of Lambda Technologies company, Suite 900, Morrisville, North Carolina State 27560) microwave oven of buying.
Substrate support 320 can be configured to clip or clamp substrate 325 not.For example, substrate support 320 can be configured to machinery or electric power clamp substrate 325.
In addition, drying system 300 can comprise for the IR radiation source that substrate 325 is exposed to the IR radiation.
Refer again to Fig. 6, drying system 300 can also comprise gas ejecting system 350, and gas ejecting system 350 is connected to hothouse, and is configured to purge gas is introduced hothouse 310.For example, purge gas can comprise inert gas, for example inert gas or nitrogen.In addition, drying system 300 can comprise vacuum pump system 355, and vacuum pump system 355 is connected to hothouse 310, and is configured to emptying hothouse 310.In dry run, whether no matter under vacuum condition, substrate 325 can be in inert gas environment.
In addition, drying system 300 can comprise controller 360, and controller 360 is connected to hothouse 310, substrate support 320, annealing device 330, microwave heating appts 340, gas ejecting system 350 and vacuum pump system 355.Controller 360 comprises microprocessor, memory, digital I/O port, and this numeral I/O port can produce the control voltage that the input that is enough to transmit and activates drying system 300 monitors the output of drying system 300 simultaneously.According to the technology preparation of storing, use the program and the drying system 300 that are stored in the memory mutual.Controller 360 can be used for the treatment element (310,320,330,340,350 or 355) of configuration any amount, and the data from treatment element can be collected, provide, process, store and be shown to controller 360.Controller 360 can comprise be used to the multiple application of controlling one or more treatment elements.For example, controller 360 can comprise graphic user interface (GUI) part (not shown), and it can provide the cross section that makes the user can monitor and/or control one or more treatment elements.
With reference now to Fig. 7,, shows according to another embodiment of the present invention cure system 400.Cure system 400 comprises curing room 410, and it is configured as and solidifies the environment that the substrate 425 that is placed on the substrate support 420 produces the cleanliness without any pollution thing.Cure system 400 can also comprise one or more radiation sources, and the substrate 425 that radiation source is configured to have a dielectric film is exposed to electromagnetism (EM) radiation under single, a plurality of, arrowband or wideband electromagnetic ripple.One or more radiation sources can comprise ultraviolet (UV) radiation source 445 and optionally infrared (IR) radiation source 440.Can be simultaneously, order or partly overlapping each other substrate is exposed to UV radiation and optional IR radiation.
IR radiation source 440 can comprise IR source, broadband (for example, polychrome), perhaps can comprise IR source, arrowband (for example, monochromatic).The IR radiation source can comprise one or more IR lamps, one or more IR LED, perhaps one or more IR lasers ((CW) of continuous wave, tunable or pulse) or above-mentioned every combination in any.The IR power density can be up to about 20W/cm 2For example, the IR power density can be from about 1W/cm 2Arrive approximately 20W/cm 2Scope in.The IR radiation wavelength can from approximately 1 micron in about 25 microns scope.Perhaps, the IR radiation wavelength can from approximately 8 microns in about 14 microns scope.Perhaps, the IR radiation wavelength can from approximately 8 microns in about 12 microns scope.Perhaps, the IR radiation wavelength can from approximately 9 microns in about 10 microns scope.For example, IR radiation source 440 can comprise CO 2Laser system.In addition, for example, IR radiation source 440 can comprise have from approximately 1 micron to about 25 microns the IR element (for example ceramic component or silicon carbide elements) of spectrum output area, perhaps IR radiation source 440 can comprise semiconductor laser (diode) that optical parameter amplifies or ion laser, titanium: sapphire laser or dye laser.
UV radiation source 445 can comprise UV source, broadband (for example, polychrome) or can comprise UV source, arrowband (for example, monochromatic).The UV radiation source can comprise one or more UV etc., one or more UV LED or one or more UV laser ((CW) of continuous wave, tunable or pulse) or above-mentioned every combination in any.For example, can or produce electron collision from microwave source, arc discharge, dielectric barrier discharge and produce the UV radiation.The UV power density can be from about 0.1mW/cm 2Arrive approximately 2000mW/cm 2Scope in.The UV wavelength can be in the scope from about 100 nanometers (nm) to about 600nm.Perhaps the UV radiation can be in the scope from about 200nm to about 400nm.Perhaps, the UV radiation can be in the scope from about 150nm to about 300nm.Perhaps, the UV radiation can be in the scope from about 170nm to about 240nm.Perhaps, the UV radiation can be in the scope from about 200nm to about 240nm.For example, UV radiation source 445 can comprise having from about 180nm to approximately direct current (DC) or flashlight (for example, the deuterium (D of the spectrum output area of 500nm 2) lamp), perhaps UV radiation source 445 can comprise semiconductor laser (diode), (nitrogen) gas laser, frequency tripling (or quadruple) Nd:YAG laser or copper-vapor laser.
IR radiation source 440 or UV radiation source 445 or above-mentioned both can comprise the optics of any amount, to adjust one or more performances of output radiation.For example, each radiation source can also comprise filter, optical lens, beam expander or beam collimation device etc.Above-mentioned optical manipulation device is well-known for the technical staff in optics and Electromagnetic Wave Propagation field, and is suitable for the present invention.
Substrate support 420 can also comprise temperature control system, and it can be configured to promote and/or control the temperature of substrate 425.Temperature control system can be the part of annealing device 430.Substrate support 420 can comprise in one or more embedding substrate supports 420 and be coupled to the conduction heating element of power source and temperature controller.For example, each heating element can comprise stratie, and it is coupled to the power source that is configured to provide electrical power.Substrate support 420 can optionally comprise one or more radiant heater elements.For example, the temperature of substrate 425 can from approximately 20 degrees centigrade in about 600 degrees centigrade scope, preferred, temperature can from approximately 200 degrees centigrade in about 600 degrees centigrade scope.For example, the temperature of substrate 425 can from approximately 300 degrees centigrade in about 500 degrees centigrade scope, perhaps from approximately 350 degrees centigrade in about 450 degrees centigrade scope.
In addition, substrate support 420 can be configured to clip or clamp substrate 425 not.For example, substrate support 420 can be configured to machinery or electric power clamp substrate 425.
Refer again to Fig. 7, cure system 400 can also comprise gas ejecting system 450, and gas ejecting system 450 is connected to curing room, and is configured to purge gas is introduced curing room 410.For example, purge gas can comprise inert gas, for example inert gas or nitrogen.Perhaps, purge gas can comprise other gases, for example H 2, NH 3, C xH y, or above-mentioned every combination in any.In addition, cure system 400 can comprise vacuum pump system 455, and vacuum pump system 455 is connected to curing room 410, and is configured to emptying curing room 410.In solidification process, whether no matter under vacuum condition, substrate 425 can be in inert gas environment.
In addition, cure system 400 can comprise controller 460, and controller 460 is connected to curing room 410, substrate support 420, annealing device 430, IR radiation source 440, UV radiation source 445, gas ejecting system 450 and vacuum pump system 455.Controller 460 comprises microprocessor, memory, digital I/O port, and this numeral I/O port can produce the control voltage that the input that is enough to transmit and activates cure system 400 monitors the output of cure system 400 simultaneously.According to the technology preparation of storing, use the program and the cure system 400 that are stored in the memory mutual.Controller 460 can be used for the treatment element (410,420,430,440,445,450 or 455) of configuration any amount, and the data from treatment element can be collected, provide, process, store and be shown to controller 460.Controller 460 can comprise be used to the multiple application of controlling one or more treatment elements.For example, controller 460 can comprise graphic user interface (GUI) part (not shown), and it can provide the cross section that makes the user can monitor and/or control one or more treatment elements.
Controller 360 and 460 can be used DELL PRECISION WORKSTATION610 TMController 360 and 460 can also be used all-purpose computer, processor, digital signal processor etc., it is so that lining processor in response to one or more sequences of the one or more instructions that comprise in controller 360 and the 460 object computer computer-readable recording mediums, is carried out part or all treatment step of the present invention.Computer-readable medium or memory are used for preserving according to the program command of instruction of the present invention and for holding data structure, table, record or other data described here.The example of computer-readable medium be CD, hard disk, floppy disk, tape, magneto optical disk, various PROM (EPPROM, EEPROM) DRAM, SRAM, SDRAM or any other magnetic medium, CD (for example, CD-ROM) or any other optical medium, punched card, paper tape or other physical mediums, carrier wave (being described below) or computer-readable any other medium with sectional hole patterns.
Controller 360 and 460 can be arranged on nearby with respect to drying system 300 and cure system 400, perhaps can be arranged at a distance with respect to drying system 300 and cure system 400 by the Internet or local area network (LAN).Therefore, controller 360 can use at least a mode and drying system 300 and cure system 400 swap datas in direct connection, local area network (LAN) and the Internet with being connected.Controller 360 and 460 can be connected to local area network (LAN) at user site (that is, device manufacturers etc.), perhaps in supplier site (that is, equipment manufacturer).In addition, another computer (that is, controller, server etc.) can access controller 360 and 460, with by at least a mode swap data in direct connection, local area network (LAN) and the Internet.
In addition, embodiments of the invention can as or be used for being supported in the processing kernel (processor of computer for example of some form, controller 360 or 460 for example) upper performed software program is perhaps on machine readable media or its inner software program of carrying out or realizing.Machine readable media comprises for any device with the readable form storage information of machine (for example computer).For example, machine readable media can comprise the medium such as read-only memory (ROM), random access memory (RAM), magnetic disk storage medium, optical storage media and flush memory device etc.
Although top is described in detail some exemplary embodiment of the present invention, but those skilled in the art are easy to recognize, in the situation that there be not substantive initiative spirit instruction of the present invention and the advantage of breaking away from, there are in the exemplary embodiment a lot of alter modes.Therefore, above-mentioned all modifications mode is intended to comprise within the scope of the invention.

Claims (23)

1. the method for the low-k on the cured substrate (low k) dielectric film, it comprises the steps:
Form the low K dielectrics film at substrate;
Described low K dielectrics film is exposed to the first infrared IR radiation;
After being exposed to a described IR radiation, described low K dielectrics film is exposed to the UV radiation; And
After being exposed to described UV radiation, described low K dielectrics film is exposed to the second infrared IR radiation,
Wherein, the dielectric constant of described low K dielectrics film is the value less than 4, wherein,
Described method also comprises the steps:
In the process that is exposed to a described IR radiation, described low K dielectrics film is exposed to the 2nd UV radiation, wherein be exposed to described the 2nd UV radiation and be different from the described UV radiation that is exposed to that is exposed to after the described IR radiation.
2. method according to claim 1 also comprises the steps:
In the process that is exposed to a described IR radiation, by described substrate temperature being promoted to from 200 degrees centigrade to 600 degrees centigrade the IR heat treatment temperature in the scope, heat described low K dielectrics film.
3. method according to claim 2, wherein, a described IR heat treatment temperature is in 350 degrees centigrade to 450 degrees centigrade scope.
4. method according to claim 1 also comprises the steps:
In the process that is exposed to described UV radiation, by described substrate temperature being promoted to from 200 degrees centigrade to 600 degrees centigrade the hot temperature of UV in the scope, heat described low K dielectrics film.
5. method according to claim 4, wherein, the hot temperature of described UV is in 300 degrees centigrade to 500 degrees centigrade scope.
6. method according to claim 1 also comprises the steps:
In the process that is exposed to described the 2nd IR radiation, by described substrate temperature being promoted to from 200 degrees centigrade to 600 degrees centigrade the 2nd IR heat treatment temperature in the scope, to heat described low k dielectric film.
7. method according to claim 6, wherein, described the 2nd IR heat treatment temperature is in 350 degrees centigrade to 450 degrees centigrade scope.
8. method according to claim 1 wherein, is carried out a described IR radiation in being different from the treatment system of carrying out described UV radiation.
9. method according to claim 1 wherein, is carried out a described IR radiation in the treatment system identical with carrying out described UV radiation.
10. method according to claim 1, wherein, described low K dielectrics film is exposed to described UV radiation comprise with described low K dielectrics film be exposed to monochromatic UV radiation, polychrome UV radiation, pulse UV radiation or continuous wave UV radiation or above-mentioned every in two or multinomial combination.
11. method according to claim 1, wherein, described low K dielectrics film is exposed to described UV radiation comprise with described low K dielectrics film be exposed to from one or more UV lamps, one or more UV LED or one or more UV laser or above-mentioned every in the UV radiation of two or multinomial combination.
12. method according to claim 1 wherein, is exposed to described UV radiation with described low K dielectrics film and comprises the UV radiation that described low K dielectrics film is exposed to the wavelength in having from 200 nanometers to 400 nanometer range.
13. method according to claim 1 wherein, is exposed to described UV radiation with described low K dielectrics film and comprises the UV radiation that described low K dielectrics film is exposed to the wavelength in having from 200 nanometers to 240 nanometer range.
14. method according to claim 1, wherein, described low K dielectrics film is exposed to a described IR radiation comprise with described low K dielectrics film be exposed to monochromatic IR radiation, polychrome IR radiation, pulse IR radiation or continuous wave IR radiation or above-mentioned every in two or multinomial combination.
15. method according to claim 1, wherein, described low K dielectrics film is exposed to a described IR radiation comprise with described low K dielectrics film be exposed to from one or more IR lamps, one or more IR LED or one or more IR laser or above-mentioned every in the IR radiation of two or multinomial combination.
16. method according to claim 1 wherein, is exposed to described IR radiation with described low K dielectrics film and comprises described low K dielectrics film is exposed to the IR radiation that has from 8 microns wavelength in 12 micrometer ranges.
17. method according to claim 1 wherein, is exposed to described UV radiation with described low K dielectrics film and also comprises the steps:
In being exposed at least a portion process of described UV radiation, described low K dielectrics film is exposed to the 3rd IR radiation.
18. method according to claim 17 wherein, is exposed to described the 3rd IR radiation with described low K dielectrics film and comprises described low K dielectrics film is exposed to the IR radiation that has from 8 microns wavelength in 12 micrometer ranges.
19. method according to claim 1 also comprises the steps:
After being exposed to described the 2nd IR radiation, by carrying out at described another tunic of dielectric film deposition, cleaning described dielectric film or described dielectric film is exposed to one or more among the plasma and process described dielectric film.
20. method according to claim 1, wherein, described low K dielectrics film comprises the porous low k dielectric film, and described porous low k dielectric film comprises that structure forms material and the hole produces material.
21. method according to claim 20, wherein, described structure forms material and comprises diethoxymethyl silane (DEMS).
22. method according to claim 20, wherein said hole produce material comprise terpenes, norborene, 5-dimethyl-Isosorbide-5-Nitrae-encircle hot ethene, decahydronaphthalenes, ethylbenzene or citrene or above-mentioned every in two or multinomial combination.
23. method according to claim 1, wherein, described the 2nd UV radiation comprises the UV radiation that described low K dielectrics film is exposed to the wavelength in having from 300 nanometers to 450 nanometer range.
CN2009801078443A 2008-03-06 2009-03-03 Method for curing a porous low dielectric constant dielectric film Expired - Fee Related CN101960556B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201210246284.3A CN102789975B (en) 2008-03-06 2009-03-03 For the method for curing porous low dielectric constant dielectric film

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US12/043,814 2008-03-06
US12/043,814 US7977256B2 (en) 2008-03-06 2008-03-06 Method for removing a pore-generating material from an uncured low-k dielectric film
US12/043,772 2008-03-06
US12/043,772 US7858533B2 (en) 2008-03-06 2008-03-06 Method for curing a porous low dielectric constant dielectric film
US12/043,835 US20090226694A1 (en) 2008-03-06 2008-03-06 POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
US12/043,835 2008-03-06
PCT/US2009/035878 WO2009111473A2 (en) 2008-03-06 2009-03-03 Method for curing a porous low dielectric constant dielectric film

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201210246284.3A Division CN102789975B (en) 2008-03-06 2009-03-03 For the method for curing porous low dielectric constant dielectric film

Publications (2)

Publication Number Publication Date
CN101960556A CN101960556A (en) 2011-01-26
CN101960556B true CN101960556B (en) 2013-09-18

Family

ID=41056604

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201210246284.3A Expired - Fee Related CN102789975B (en) 2008-03-06 2009-03-03 For the method for curing porous low dielectric constant dielectric film
CN2009801078443A Expired - Fee Related CN101960556B (en) 2008-03-06 2009-03-03 Method for curing a porous low dielectric constant dielectric film

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201210246284.3A Expired - Fee Related CN102789975B (en) 2008-03-06 2009-03-03 For the method for curing porous low dielectric constant dielectric film

Country Status (6)

Country Link
JP (2) JP5490024B2 (en)
KR (1) KR101538531B1 (en)
CN (2) CN102789975B (en)
DE (1) DE112009000518T5 (en)
TW (1) TWI421939B (en)
WO (1) WO2009111473A2 (en)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8242460B2 (en) * 2010-03-29 2012-08-14 Tokyo Electron Limited Ultraviolet treatment apparatus
JP2012104703A (en) * 2010-11-11 2012-05-31 Hitachi Kokusai Electric Inc Method of manufacturing semiconductor device and substrate processing apparatus
CN104143524A (en) * 2013-05-07 2014-11-12 中芯国际集成电路制造(上海)有限公司 Manufacturing method for semiconductor device
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (en) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド Feedback loop for controlling pulsed voltage waveforms
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200413559A (en) * 2002-11-14 2004-08-01 Air Prod & Chem Non-thermal process for forming porous low dielectric constant films

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2001288954A1 (en) * 2000-09-13 2002-03-26 Shipley Company, L.L.C. Electronic device manufacture
US6692903B2 (en) * 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US20030224544A1 (en) * 2001-12-06 2003-12-04 Shipley Company, L.L.C. Test method
JP3726071B2 (en) * 2002-06-05 2005-12-14 東京エレクトロン株式会社 Heat treatment method
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6897162B2 (en) * 2003-10-20 2005-05-24 Wafermasters, Inc. Integrated ashing and implant annealing method
US20060081557A1 (en) * 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US7622378B2 (en) * 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
JP2007214156A (en) * 2006-02-07 2007-08-23 Yatabe Hitoo Semiconductor device
JP2007324170A (en) * 2006-05-30 2007-12-13 Yoshimi Shiotani Irradiation device and semiconductor production system employing the same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200413559A (en) * 2002-11-14 2004-08-01 Air Prod & Chem Non-thermal process for forming porous low dielectric constant films

Also Published As

Publication number Publication date
JP5490024B2 (en) 2014-05-14
WO2009111473A3 (en) 2010-01-14
TWI421939B (en) 2014-01-01
KR20120041641A (en) 2012-05-02
CN102789975B (en) 2015-10-14
TW200949941A (en) 2009-12-01
WO2009111473A2 (en) 2009-09-11
JP2011514678A (en) 2011-05-06
CN101960556A (en) 2011-01-26
KR101538531B1 (en) 2015-07-21
DE112009000518T5 (en) 2011-05-05
JP2014007416A (en) 2014-01-16
CN102789975A (en) 2012-11-21

Similar Documents

Publication Publication Date Title
CN101960556B (en) Method for curing a porous low dielectric constant dielectric film
CN101816059B (en) Method for curing a dielectric film
US10068765B2 (en) Multi-step system and method for curing a dielectric film
US7858533B2 (en) Method for curing a porous low dielectric constant dielectric film
US7977256B2 (en) Method for removing a pore-generating material from an uncured low-k dielectric film
US7666754B2 (en) Method and system for forming an air gap structure
US7829268B2 (en) Method for air gap formation using UV-decomposable materials
CN102159330B (en) Dielectric material treatment system and method of operating
KR101653907B1 (en) Method for removing back-filled pore-filling agent from a cured porous dielectric
US20090226695A1 (en) Method for treating a dielectric film with infrared radiation
US20090226694A1 (en) POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130918

Termination date: 20200303

CF01 Termination of patent right due to non-payment of annual fee