CN101827766A - 基板处理装置 - Google Patents

基板处理装置 Download PDF

Info

Publication number
CN101827766A
CN101827766A CN200880112243A CN200880112243A CN101827766A CN 101827766 A CN101827766 A CN 101827766A CN 200880112243 A CN200880112243 A CN 200880112243A CN 200880112243 A CN200880112243 A CN 200880112243A CN 101827766 A CN101827766 A CN 101827766A
Authority
CN
China
Prior art keywords
substrate
common transfer
chamber
roller
conveying
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN200880112243A
Other languages
English (en)
Inventor
井野英二
渡边亮
芦田肇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Evatech Co Ltd
Original Assignee
Evatech Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Evatech Co Ltd filed Critical Evatech Co Ltd
Publication of CN101827766A publication Critical patent/CN101827766A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/061Lifting, gripping, or carrying means, for one or more sheets forming independent means of transport, e.g. suction cups, transport frames
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/063Transporting devices for sheet glass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/068Stacking or destacking devices; Means for preventing damage to stacked sheets, e.g. spaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67718Changing orientation of the substrate, e.g. from a horizontal position to a vertical position
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67724Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations by means of a cart or a vehicule
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/6776Continuous loading and unloading into and out of a processing chamber, e.g. transporting belts within processing chambers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2249/00Aspects relating to conveying systems for the manufacture of fragile sheets
    • B65G2249/02Controlled or contamination-free environments or clean space conditions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明提供一种可实现省空间化及低成本化的基板处理装置。基板处理装置具有多个基板处理室(230,240,250)、用于向各基板处理室输送基板(W)的共用输送室(220),并且以立起姿势输送基板(W),同时对该基板(W)进行规定的处理,其中,将至少一个基板处理室(230,240,250)设置在共用输送室(220)的上方或下方,在该处理室和输送室(220)的边界部设有基板(W)能够穿过的基板通过口。

Description

基板处理装置
技术领域
本发明涉及用于对玻璃基板等基板施行规定处理的基板处理装置。
背景技术
在半导体及液晶、薄膜太阳能电池等的制造工序中,用于对基板施行CVD(Chemical Vapor Deposition:化学气相成长)、溅射、干蚀刻等处理的基板处理装置中设置有用于将基板搬入搬出该装置内的各处理室的基板输送机构。在这种基板输送机中,一般是将基板以水平放倒的状态进行输送,但随着近年的平面显示器的大画面化及太阳能电池的大面积化,促进了它们的主要零件即玻璃基板的大型化,由此产生基板因自重挠曲造成的损伤的产生及基板处理装置的设置面积的增大等问题。
为了解决这种问题,近年来已设计出以使基板立起的状态进行输送及处理的方式的基板处理装置,例如,专利文献1中记载有一种基板处理装置,其具备以倾斜立起的状态输送每片平板的机构。通过设计成这种构成,可以降低玻璃基板的挠曲,防止基板破损且可以降低输送线的专有面积。
另外,专利文献1中记载有一种基板处理装置,其将由所述输送线和多个处理部构成的生产线上下分离,例如,上层配置主处理部,下层配置输送线及一部分处理部,并且,在该输送线和上层处理部之间具备用于上下输送基板的升降机。这样,根据上下配置输送线和处理部的构成,可以进一步降低基板处理装置整体的占有面积。
专利文献1:日本特开2003-192127号公报([0013]、[0031]、图8、9)
但是,专利文献1所述的基板处理装置构成为,利用升降机使基板上升到与上层的处理部相同的高度之后,将该基板从处理部前方搬入,其后,利用另一台升降机使从该处理部的后方搬出的处理结束基板下降到共用输送室,因此需要在各处理部的前后设置用于使升降机停留的空间。因此,需要使处理室彼此隔开大的间隔而配置,不能充分缩小装置整体的专有面积。另外,需要对每个处理部设置基板搬入用和搬出用的两台升降机,因此存在设备成本增大这种问题。
发明内容
因此,本发明想要解决的课题是提供一种可实现省空间化及低成本化的基板处理装置。
为了解决上述课题而完成的本发明的基板处理装置,以立起姿势输送基板,同时对该基板进行规定的处理,其特征在于,具有a)多个基板处理室,b)用于向各基板处理室输送基板的共用输送室,其中,至少一个基板处理室设置在所述共用输送室的上方或下方,在该基板处理室和共用输送室的边界部设有基板能够穿过的基板通过口。
所述本发明的基板处理装置是将共用输送室和至少一部分基板处理室上下重叠配置而成的基板处理装置,该基板处理室可以设置在共用输送室的上方及下方中的任一方。另外,所述的“立起姿势”意味着相对水平面成规定的角度的状态。因此,基板可以为斜向倾斜的状态,但从省空间化的观点来看,优选为相对水平面呈垂直的状态(特别将这些称为“垂直姿势”)。
另外,优选所述本发明的基板处理装置构成为,至少一个基板通过口能够由闸阀开闭。
此外,优选所述本发明的基板处理装置在所述共用输送室内设置有基板输送机构,该基板输送机构在共用输送室内能够沿水平方向输送立起姿势的基板,并且在共用输送室和基板处理室之间能够沿上下方向输送该基板。
另外,还优选所述本发明的基板处理装置构成为,在所述共用输送室内沿与该基板的厚度方向正交的方向输送垂直姿势的基板。
根据具有所述构成的本发明的基板处理装置,由于其构成为,上下配置基板处理室和共用输送室,并且从设置在两者的边界部即该基板处理室的底面或顶面的基板通过口进行该基板处理室和共用输送室之间的基板的搬出搬入,因而不必在该基板处理室的前后设置用于基板的搬入搬出的空间,可以进一步实现省空间化。另外,由于可以用一台输送装置进行向基板处理室的基板的搬入和搬出,因此可以抑制设备成本。
另外,如上所述,只要其构成为具备可沿水平方向及上下方向输送基板的基板输送机构,就可以用一个输送机构进行在共用输送室内的基板的输送和从共用输送室向各基板处理室的基板的搬入搬出,所以与分别利用单独的输送装置进行基板的搬入搬出的情况相比,可以更降低制造成本及输送装置的保守管理需要的成本。
另外,如上所述,只要其构成为在共用输送室内沿与该基板的厚度方向正交的方向输送垂直姿势的基板,就可以降低该共用输送室的体积。由此,可以利用比较廉价的小排气容量的真空泵进行共通输送室的抽真空,可以抑制设备成本。另外,由于使共用输送室小型化,可以抑制装置的设置面积,并且以最低限度制作用于确保耐压性的加固件等,因此可以实现装置的轻量化。此外,由于可以减少输送室内壁的表面积,在抽真空时起到可以降低自该输送室的内壁放出的排气这种效果。
附图说明
图1是本发明的一实施例的基板处理装置的概略构成图,(a)是平面图,(b)是(a)的A-A′向视剖面图;
图2是表示该实施例的基板处理装置的辊式输送机的构成的图,(a)是上面图,(b)是侧面图;
图3是表示将基板以水平姿势搭载于上述输送机上的状态的侧面图;
图4是表示使该输送机立起的状态的侧面图;
图5是表示基板搬入后的预真空室(load lock chamber)的正面剖面图;
图6是图5的A-A′向视剖面图;
图7是表示从预真空室向共用输送室搬入基板的过程的正面剖面图;
图8是表示输送台车的构成的图,(a)是正面图,(b)是(a)的A-A′向视剖面图;
图9是表示基板保持状态的基板保持辊的图,(a)是正面图,(b)是侧视图;
图10是表示保持解除状态的基板保持辊的图,(a)是正面图,(b)是侧面图;
图11是表示向输送台车的转移开始状态的正面剖面图;
图12是图11的A-A′向视剖面图;
图13是表示向输送台车的转移过程的剖面图;
图14是表示向输送台车的转移结束状态的剖面图;
图15是表示向预热室搬入基板的过程的正面剖面图;
图16是表示向预热室搬入基板的过程的侧面剖面图,(a)表示使辊退避的状态,(b)表示使辊返回保持位置的状态;
图17是表示使基板向成膜室的正下方移动的状态的正面剖面图;
图18是表示向成膜室搬入基板的过程的侧面剖面图,(a)表示使辊退避的状态,(b)表示使辊返回保持位置的状态;
符号说明
110…辊式输送机
120、214、222…立起输送辊
210、260…预真空室
211、212、241、261、262…闸阀
213、221、231…基板保持板
214、222…立起输送辊
220…共用输送室
221a…上侧板
226…轨道
230…预热室
231a…下侧板
240…成膜室
250…冷却室
270…输送台车
271…基板保持体
272…行走基台
274…缩放机构
280…基板保持辊
W…基板
具体实施方式
下面,参照附图对本发明的基板处理装置的一个实施例进行说明。
图1是本实施例的基板处理装置的概略构成图。本实施例的基板处理装置是通过等离子体气相成长(PE-CVD:Plasma Enhanced ChemicalVapor Deposition)在基板上形成薄膜的PE-CVD装置,进行大致区分的话,由加载部100、处理单元200、卸载部300构成。
处理单元200包括:用于对处理前的基板W进行预热的预热室230、用于在基板W上形成各自不同的薄膜的多个成膜室240、用于对处理后的基板W进行冷却的冷却室250、用于将基板W搬入搬出处理单元200内的预真空室210、260、具备用于向这些各室输送玻璃基板W的输送台车270的共用输送室220。另外,在本实施方式中预热室230、成膜室240、冷却室250相当于本发明的“基板处理室”。
预热室230、成膜室240、冷却室250(以下,适当总称为“基板处理室”)都配置于共用输送室220的上方。在这些基板处理室230、240、250和共用输送室220之间的边界部即各基板处理室230、240、250的底面设置有能够使基板W通过的开口(相当于本发明的“基板通过口”),经由该开口在共用输送室220和各基板处理室230、240、250之间进行基板W的搬入及搬出。
另外,在共用输送室220和预真空室210、260的边界部及预真空室210、260和处理单元200的外部的边界部也设置有同样的开口。这些开口当中,在预热室230及冷却室250和共用输送室220的边界部以外,分别设置有能够开闭的闸阀211、212、241、261、262,各成膜室240及共用输送室220利用未图示的真空泵保持为真空状态。另外,预真空室210、260是用于暂时地保持从加载部100搬入的基板W或向卸载部300搬出的基板W的预备真空室,可以利用真空泵适当抽成真空状态。
另外,在加载部100设置有辊式输送机,其用于向预真空室210输送从前段工序输送来的基板W,以水平姿势输送到加载部100的被处理基板W通过基板分配机构(图示略)向左右方向(图1中的X轴方向)交替分配,利用前述辊式输送机分别输送到预真空室210的面前侧左右的基板立起位置。另外,卸载部300也设置有同样的辊式输送机,从预真空室260搬出的基极W通过该辊式输送机向后段工序搬出。
下面,对上述辊式输送机进行详细说明。设置在加载部100的辊式输送机是将从前段工序接收的玻璃基板以水平姿势进行输送的机构,基板立起位置的辊式输送机110与其他部分的辊式输送机独立而构成。图2表示该辊式输送机110的构成。辊式输送机110具备框体111、由框体111轴支承两端的多个轴112。在各轴112上安装有多个轴套113,利用规定的驱动机构(图示略)旋转驱动各轴112,由此可以沿规定方向输送放置在轴套113上的基板W。另外,框体111为以转轴114为中心可转动的构成,可以利用规定的驱动机构(图示略)使其立起为与地面垂直的状态。
另外,在框体111的与基板W的左右二边(即立起时的上下二边)相对应的位置安装有用于输送立起状态的基板的线轴状的立起输送辊120。立起输送辊120由与转轴形成一体的圆柱形的筒状部、设置在筒状部的两端用于防止基板W的脱落的凸缘部构成。各辊的转轴分别与设置在框体111上的辊驱动部124连接,在使框体111W立起的状态下由这些辊驱动部124使各立起输送辊120向规定方向旋转,从而可以使由上下的立起输送辊120保持的基板W沿着该辊120的排列方向移动。
其次,对从上述辊式输送机110向预真空室210搬入基板W的顺序进行说明。首先,利用加载部100的辊式输送机将成为处理对象的两片玻璃基板W分别输送到基板立起位置。图3是表示此时的基板立起位置的辊式输送机110的侧面图。
接着,如图4所示,使各辊式输送机110以转轴114为中心转动,使搭载于各输送机的基板W以相互平行且与地面垂直的姿势对置。另外,此时,基板W由于其上下两边由辊式输送机110上的各立起输送辊120的凸缘保持,因此即使辊式输送机110立起至垂直状态,该基板W也不会从辊式输送机110脱落。
其后,在关闭预真空室210的真空侧闸阀212的状态下开放大气侧闸阀211,使辊式输送机110的各立起输送辊120向规定的方向旋转。由此,辊式输送机110上的各基板W被送向预真空室210,经由开状态的大气侧闸阀211被搬入预真空室210的内部。完成基板W的搬入时,关闭预真空室210的大气侧闸阀211,利用真空泵将预真空室210内抽成真空状态。
图5是表示基板W的搬入完成时刻的预真空室210的正面剖面图,图6是图5的A-A′向视剖面图。在预真空室211的内部对置配置有具备多个上述同样的立起输送辊214的两片基板保持板213,被搬入预真空室210的基板W由该立起输送辊214支承上下两边而被保持在基板保持板213上。各立起输送辊214的转轴与设置在各基板保持板213的辊驱动部215连接,利用它们的辊驱动部215使各辊214向规定方向转动,由此可以朝向共用输送室220的方向(图5的右方向)输送各基板保持板213上的基板W。
预真空室210内达到规定的真空度之后,打开预真空室210的真空侧闸阀212,使基板保持板213上的立起输送辊214旋转,将基板W送向共用输送室220(图7)。
在共用输送室220内设置有与预真空室210同样的两片基板保持板221,进入共用输送室220内的基板W其上下的端面由该基板保持板221上的立起输送辊222保持,通过该辊222的旋转进一步被导入共用输送室220的里侧。
另外,如图12~14所示,各基板保持板221其构成为,利用设置在共用输送室220的外部的工作缸225可以向相互接近或分开的方向移动(即图1的X轴方向)。另外,共用输送室220的各基板保持板221具有经由转轴223可转动地连结上下两片板的构成,通过以转轴223为中心使上侧的板221a向共用输送室220的外侧转动,可以使安装在该上侧板221a的辊222(即基板上边侧的立起输送辊)在保持基板W的上端缘的保持位置和从基板W的上方(即基板升降时的该基板W的行进路径上)退避的退避位置之间移动(详细后述)。
接着,保持在该基板保持板221的基板W转移到设置在共用输送室220内的输送台车270上。图8表示输送台车270的构成。输送台车270是沿与其厚度方向正交的方向输送垂直姿势的基板的机构,其构成为,具备行走基板台272,其具备用于在铺设于共用输送室220内的轨道226上行走的轮胎273;搭载于行走基台272的上部的基板保持体271;将该行走基台272和基板保持体271之间伸缩自如地连结的缩放机构274,利用电动工作缸275使缩放机构274伸缩,从而可以使基板保持体271在行走基台272上进行上下移动。另外,在行走基台272的前后设置有用于限制基板的前后方向(图1的Y轴方向)的移动的旋转停止器276。各停止器276构成为利用未图示的驱动机构可转动,如图8(b)所示,可以适当退避在与基板不干涉的位置。
基板保持体271由立设在行走基台272上方的前后两侧的一对臂构成。在各臂的表背两面,与基板W的上边及下边相对应的位置分别设置有如图9、图10所示的基板保持辊280,利用该辊保持基板W的上下两边,而可以在前述一对的臂的表侧及背侧以垂直姿势各保持一片基板W。
基板保持辊280具备与转轴281形成一体的圆柱形的筒状部282、设置在筒状部282的两端面的外周的一部分的保持凸缘283a、283b。各辊的转轴281分别与设置在基板保持体271的辊驱动部(图示略)连接,利用这些辊驱动部旋转驱动各基板保持辊280,由此根据其旋转角度,可以或保持基板W(图9)、或释放基板W(图10)。以下,将图9的状态称为“基板保持状态”、将图10的状态称为“保持解除状态”。基板保持状态如图9(b)所示为基板W的端缘位于两保持凸缘283a、283b之间的状态,因此基板W的厚度方向的移动被限制。另一方面,保持解除状态如图10(b)所示为基板W和保持凸缘283a、b不干涉的位置关系,所以不会限制基板W的厚度方向的移动。
从共用输送室220内的基板保持板221向输送台车270移载基板W时,首先,如图11、12所示,使输送台车270向两片基板保持板221之间的空间移动。接着,利用工作缸225使基板保持板221向基板输送室的中央方向移动,从而使基板保持板221上的各基板W与输送台车270的基板保持体271接近(图13)。另外,这时,基板保持体271上的基板保持辊280成为保持解除状态,另外,基板保持板221上的立起输送辊222和基板保持体271上的基板保持辊280由于设置在如图11所示相互不干涉的位置,所以可以不使基板保持板221上的立起输送辊222和基板保持体271上的基板保持辊280及基板保持体271上的基板保持辊280和基板W接触,而使各基板W与基板保持体271接近。
其后,使输送台车270的基板保持体271上的基板保持辊280转动而成为基板保持状态。由此,成为各基板W由基板保持板221上的立起输送辊222和基板保持体271上的基板保持辊280双方保持的状态。
接着,各基板保持板221的上侧板221a以转轴223为中心向外侧转动,保持基板W的上边的立起输送辊222向退避位置移动(图14)。其后,缩放机构274伸长,基板保持体271上升,搭载于该基板保持体271上的两片基板W被搬入设置在共用输送室220的上方的预热室230(图15)。
根据上述,被搬入预热室230的基板W从输送台车270的基板保持体271向设置在预热室230内的规定的基板保持机构转移。
在此,该基板保持机构例如可以采用如图16所示的机构。其具有使与共用输送室220的基板保持板221几乎同样地上下反转的构成,可以利用工作缸234使两片基板保持板231相互接近或离开,并且通过使各基板保持板231的下侧板231a以转轴233为中心向预热室230的外侧转动,可以使用于支承基板下边的辊232从基板W前进路径退避。但是,由于在预热室230内不进行基板W的水平方向的输送,因此没有必要使各基板保持板231上的辊232可以转动。
在将基板搬入具备如上所述的基板保持机构的预热室230时,首先,将安装在下侧板231a的辊232向退避位置移动之后,使输送台车270的基板保持体271进入两基板保持板231之间(图16(a))。接着,将所述下侧板231a上的辊232返回保持位置而保持基板W,并且使基板保持体271的基板保持辊280成为保持解除状态(图16(b))。其后,利用工作缸234使各基板保持板231向预热室230的外侧方向移动之后,缩回输送台车270的缩放机构274,使基板保持体271下降至共用输送室220。
另外,设置在预热室230内的基板保持机构不限于上述构成,例如,其构成可以为,在一面具备与图9、10同样的多个基板保持辊,利用该基板保持辊将可保持基板W的上下两边的两个板状部件设置在预热室230内部,以辊安装面为内侧对置配置该两个板状部件,并且可以使各板状部件向相互接近或离开的方向移动。向具备这种基板保持机构的预热室230搬入基板W时,首先,以使该两个板状部件离开的状态,使输送台车270的基板保持体271进入两者之间,其后,使各板状部件移动而接近基板保持体271。接着,通过使各板状部件的基板保持辊成为基板保持状态,将基板保持体271的基板保持辊280成为保持解除状态,将各基板W从基板保持体271的基板保持辊280夹换到前期板状部件上的基板保持辊,使搭载基板W的各板状部件进一步向相互离开的方向移动之后,使基板保持体271从预热室230退避。
完成在预热室230内的处理后,利用和上述搬入时相反的顺序将各玻璃基板W从预热室230内的各基板保持板231转移到输送台车270的基板保持体271,向共用输送室220搬出。其后,通过使行走基台272沿着铺设于共用输送室220的轨道226行走,使输送台车270向后段的成膜室240的正下方移动,利用与上述同样的顺序进行基板W的搬入搬出。
图18表示这时的成膜室240的剖面。在成膜室240的中央设置有具备供电部243的电极242,在夹着该电极242而对置的位置设置有与上述预热室203同样的基板保持板231(对与图16相对应的构成附加同样的符号,省略说明)。另外,电极242的前后方向(图1的Y轴方向)的长度比输送台车270上的基板保持体271的一对臂的间隔小,在使基板保持体271进入成膜室240时,电极242成为位于两臂之间的状态,所以基板保持体271和电极242不干涉。另外,成膜室240和共用输送室220之间的开口通常由闸阀241闭锁,只在基板W搬入及搬出时打开该闸阀241使基板W通过。
如上所述,根据本实施例的基板处理装置,通过将各基板处理室重叠配置在共用输送室的上方,并且,从两者边界部即设置在各基板处理室的底面的开口(基板通过口)进行共用输送室-基板处理室间的基板的出入,可以缩小各基板处理室间的间隔,可以更进一层实现省空间化。另外,如上所述,通过设置可在共用输送室内行走且可使基板上下升降的输送台车,可以使用一台输送台车进行共用输送室内的基板的水平输送和向各基板处理室的基板的上下输送。因此,与单独设置水平输送装置和上下输送装置的情况相比,可以抑制制造成本及输送装置的保守管理需要的成本。另外,因其构成还可以为,在共用输送室内将垂直姿势的基板向与其厚度方向正交的方向输送,因此可以降低该共用输送室的体积,进一步实现省空间化、低成本化及轻量化。

Claims (4)

1.一种基板处理装置,其以立起姿势输送基板,同时对该基板进行规定的处理,其特征在于,具有:
a)多个基板处理室,
b)用于向各基板处理室输送基板的共用输送室,
其中,至少一个基板处理室设置在所述共用输送室的上方或下方,在所述基板处理室和共用输送室的边界部设有基板能够穿过的基板通过口。
2.如权利要求1所述的基板处理装置,其特征在于,
至少一个基板通过口构成为能够由闸阀开闭。
3.如权利要求1或2所述的基板处理装置,其特征在于,
在共用输送室内配备有基板输送机构,该基板输送机构能够沿水平方向输送立起姿势的基板,并且在共用输送室和基板处理室之间能够沿上下方向输送所述基板。
4.如权利要求1~3中任一项所述的基板处理装置,其特征在于,
在所述共用输送室内沿与所述基板的厚度方向正交的方向输送垂直姿势的基板。
CN200880112243A 2007-10-19 2008-09-29 基板处理装置 Pending CN101827766A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2007-272694 2007-10-19
JP2007272694A JP2009105081A (ja) 2007-10-19 2007-10-19 基板処理装置
PCT/JP2008/002708 WO2009050849A1 (ja) 2007-10-19 2008-09-29 基板処理装置

Publications (1)

Publication Number Publication Date
CN101827766A true CN101827766A (zh) 2010-09-08

Family

ID=40567138

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200880112243A Pending CN101827766A (zh) 2007-10-19 2008-09-29 基板处理装置

Country Status (5)

Country Link
US (1) US20100243163A1 (zh)
EP (1) EP2213595A1 (zh)
JP (1) JP2009105081A (zh)
CN (1) CN101827766A (zh)
WO (1) WO2009050849A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105177514A (zh) * 2014-05-28 2015-12-23 佳能安内华股份有限公司 基板处理装置
CN105900215A (zh) * 2013-11-15 2016-08-24 科恩艾斯恩株式会社 原子层沉积装置及方法
CN107265839A (zh) * 2016-04-07 2017-10-20 塔工程有限公司 划片设备
CN110504331A (zh) * 2019-07-29 2019-11-26 中建材轻工业自动化研究所有限公司 一种全自动光伏背板玻璃生产线

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI544107B (zh) * 2010-04-30 2016-08-01 應用材料股份有限公司 用於處理基板的設備及方法
WO2011142351A1 (ja) * 2010-05-12 2011-11-17 シャープ株式会社 基板載置台車
KR101036123B1 (ko) * 2010-06-10 2011-05-23 에스엔유 프리시젼 주식회사 박막 증착 장치
KR101708420B1 (ko) * 2010-09-15 2017-02-21 삼성디스플레이 주식회사 기판 증착 시스템 및 이를 이용한 증착 방법
JP5843779B2 (ja) * 2010-10-12 2016-01-13 株式会社カネカ 有機el素子の製造装置
JP5533708B2 (ja) * 2011-01-31 2014-06-25 株式会社Ihi アンテナ搬送体、アレイアンテナ式プラズマcvd装置、並びに、アレイアンテナ式プラズマcvd装置のアンテナおよび基板搬送方法
DE102011085789B4 (de) * 2011-11-04 2015-02-12 Von Ardenne Gmbh Vertikale Durchlaufbeschichtungsanlage zur kontinuierlichen Vakuumbeschichtung von Substraten
JP5939817B2 (ja) * 2012-01-30 2016-06-22 株式会社アルバック 成膜装置
JP6211086B2 (ja) * 2012-09-10 2017-10-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板処理システム及び基板を処理する方法
KR101488944B1 (ko) 2012-09-24 2015-02-06 주식회사 선익시스템 증발물질 공급장치 및 이를 구비한 증착장치
KR101394367B1 (ko) 2012-09-27 2014-05-27 주식회사 선익시스템 기판처리장치 및 기판처리방법
KR101405102B1 (ko) 2012-10-04 2014-06-10 주식회사 선익시스템 기판처리장치 및 기판처리방법
JP5942937B2 (ja) * 2013-07-26 2016-06-29 トヨタ自動車株式会社 プラズマ成膜装置及びプラズマ成膜方法
JP6463220B2 (ja) * 2015-05-21 2019-01-30 東京エレクトロン株式会社 処理システム
JP6846943B2 (ja) * 2017-02-10 2021-03-24 東京エレクトロン株式会社 塗布装置、および塗布方法
DE102019102492A1 (de) * 2019-01-31 2020-08-06 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung und Verfahren zur Bearbeitung von Wafern
TWI737520B (zh) * 2020-08-14 2021-08-21 友達光電股份有限公司 顯示面板
IT202000024337A1 (it) * 2020-10-15 2022-04-15 Bottero Spa Metodo e navetta per lo spostamento di una lastra di vetro

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08196894A (ja) * 1995-01-25 1996-08-06 Tokki Kk 真空装置の小型、低価格化のための構成方法及び、材料の搬送形態
JP3582330B2 (ja) * 1997-11-14 2004-10-27 東京エレクトロン株式会社 処理装置及びこれを用いた処理システム
JP2002246435A (ja) * 2001-02-16 2002-08-30 Ishikawajima Harima Heavy Ind Co Ltd 基板処理装置と基板処理方法
JP2003192127A (ja) 2002-12-03 2003-07-09 Takehide Hayashi フラットパネル枚葉搬送システム

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105900215A (zh) * 2013-11-15 2016-08-24 科恩艾斯恩株式会社 原子层沉积装置及方法
CN105177514A (zh) * 2014-05-28 2015-12-23 佳能安内华股份有限公司 基板处理装置
CN105177514B (zh) * 2014-05-28 2018-04-10 佳能安内华股份有限公司 基板处理装置
CN107265839A (zh) * 2016-04-07 2017-10-20 塔工程有限公司 划片设备
CN107265839B (zh) * 2016-04-07 2021-07-06 塔工程有限公司 划片设备
CN110504331A (zh) * 2019-07-29 2019-11-26 中建材轻工业自动化研究所有限公司 一种全自动光伏背板玻璃生产线

Also Published As

Publication number Publication date
EP2213595A1 (en) 2010-08-04
JP2009105081A (ja) 2009-05-14
US20100243163A1 (en) 2010-09-30
WO2009050849A1 (ja) 2009-04-23

Similar Documents

Publication Publication Date Title
CN101827766A (zh) 基板处理装置
US8740205B2 (en) Conveyor and deposition apparatus, and maintenance method thereof
CN100482850C (zh) 直列式有机电致发光制造装置
JP5173699B2 (ja) 有機elデバイス製造装置
CN101815660A (zh) 基板保持机构、基板交接机构及基板处理装置
CN100543957C (zh) 基板处理***和方法
WO2006135464B1 (en) Linear vacuum deposition system
CN102031486B (zh) 有机el器件制造装置及有机el器件制造方法以及成膜装置及成膜方法
CN101710564B (zh) 基板处理***
WO2008002780B1 (en) Batch processing platform for ald and cvd
KR101092163B1 (ko) 유기 el 디바이스 제조 장치 및 유기 el 디바이스 제조 방법 및 성막 장치 및 성막 방법
CN101990585B (zh) 成膜装置
CN102969258B (zh) 基板处理设备及基板传送方法
KR102173658B1 (ko) 기판처리시스템
KR101856110B1 (ko) 기판처리장치 및 기판처리방법
CN101576688B (zh) 液晶板组装***
KR20130087604A (ko) 성막 장치
CN104115264A (zh) 基片处理***
CN103361604A (zh) 有机材料沉积***
KR100959680B1 (ko) 기판 이송 시스템
KR102260368B1 (ko) 진공 처리 시스템 및 진공 처리 시스템의 작동 방법
KR20070015759A (ko) 평판표시소자 제조장치
KR20120060588A (ko) 기판 처리 장치
CN104064427A (zh) 离子注入装置及成膜装置
KR100934765B1 (ko) 평판표시소자 제조장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20100908