CN101727024A - High dose implantation strip (hdis) in h2 base chemistry - Google Patents

High dose implantation strip (hdis) in h2 base chemistry Download PDF

Info

Publication number
CN101727024A
CN101727024A CN200810187894A CN200810187894A CN101727024A CN 101727024 A CN101727024 A CN 101727024A CN 200810187894 A CN200810187894 A CN 200810187894A CN 200810187894 A CN200810187894 A CN 200810187894A CN 101727024 A CN101727024 A CN 101727024A
Authority
CN
China
Prior art keywords
gas
plasma source
plasma
workpiece
fluoro
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200810187894A
Other languages
Chinese (zh)
Other versions
CN101727024B (en
Inventor
春弘·哈里·后藤
大卫·张
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
ASM Nutool Inc
Original Assignee
ASM Nutool Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/251,305 external-priority patent/US8193096B2/en
Application filed by ASM Nutool Inc filed Critical ASM Nutool Inc
Publication of CN101727024A publication Critical patent/CN101727024A/en
Application granted granted Critical
Publication of CN101727024B publication Critical patent/CN101727024B/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only

Abstract

Plasma is generated using elemental hydrogen, a weak oxidizing agent, and a fluorine containing gas. An inert gas is introduced to the plasma downstream of the plasma source and upstream of a showerhead that directs gas mixture into the reaction chamber where the mixture reacts with the high-dose implant resist. The process removes both the crust and bulk resist layers at a high strip rate, and leaves the work piece surface substantially residue free with low silicon loss.

Description

Based on H 2High dose in the chemical process is implanted and is peeled off (HDIS)
Technical field
The present invention relates to remove or peel off the method and apparatus of photo anti-corrosion agent material and remove related residue from surface of the work.In particular, this application case relates to and is used for implanting or the plasma supplementary doping is implanted the method and apparatus that (resist that low dosage or high dose are implanted) peels off resist afterwards at ion.
Background technology
Photoresist is a kind of photochromics, and it is used for some manufacturing process to go up the patterned coating of formation at workpiece (for example semiconductor wafer) during handling.After the surface that will be coated with photoresist is exposed to the high-energy radiation pattern, remove a part of photoresist manifesting following surface, and the remainder on described surface is protected still.The surface and the remaining photoresist that are not capped are carried out such as semiconductor technologies such as etching, deposition and ion implantation.After carrying out one or more semiconductor technologies, in strip operation, remaining photoresist is removed.
During ion is implanted, dopant ion (for example, boron, boron difluoride, indium, gallium, thallium, phosphorus, arsenic, antimony, bismuth or germanium plasma) is quickened towards the workpiece target.Described ion is implanted in the exposed region and remaining photoresist surface of described workpiece.Described technology can form well region (source/drain) and lightly doped drain (LDD) and double-diffused drain electrode (DDD) district.Described ion is implanted with the hydrogen of implanting on material dipping resist and the exhausted surface.Resist skin or duricrust form the many carburization zones of comparable underlying mass resist bed thickness.These two layers have different coefficient of thermal expansions and with different speed stripping technology are reacted.
Implant in the resist different quite obvious between exterior layer and the body layer in the back high dose ion.In high dose was implanted, ion dose can be greater than 1x10 15Ion/square centimeter, and energy can be from 10 kiloelectron-volts to greater than 100 kiloelectron-volts.Traditional high dose is implanted and is peeled off (HDIS) process using oxidation material, wherein forms the antozone plasma and then it is directed to the surface of the work place away from process chamber.Reactive oxygen and photoresist combination are to form gaseous by-product, and described accessory substance removes with vacuum pump.For HDIS, need extra gas to remove the oxygen containing adulterant of having implanted.
Main HDIS Consideration comprises the film loss of detachment rate, residue amount and institute's exposed surface and the thin layer that underlies.HDIS and peel off after usually can on substrate surface, find residue.Described residue can because of the sputter of high energy during implanting, duricrust not exclusively remove and/or resist in the oxidation of implanted atom produce.After peeling off, should not have residue on the described surface or roughly do not have residue, to guarantee high yield and no longer to need extra residue removing to handle.Can remove residue by excessively peeling off, that is, proceed described stripping technology above after removing the required nominal dot of whole photoresists.Regrettably, in the HDIS of routine operation, excessively peel off and also can remove the part functional device structure that underlies sometimes.At device layer place, from transistor source/drain region even the loss of few silicon also can have a negative impact to device performance and output (especially super shallow-junction devices) to making with<32 nanometers or the design rule below it.
Therefore, need improvement to be used to peel off photoresist and reach the method and apparatus (especially true) of implanting relevant residue with ion, seldom or not stay residue thereby can when keeping acceptable detachment rate, make the silicon minimization of loss and stay for HDIS.
Summary of the invention
The present invention by be provided for from surface of the work peel off photoresist and remove with ion implant relevant residue through improving one's methods and equipment solves aforementioned needs.Use element hydrogen, weak oxidant and fluoro-gas to produce plasma.In certain embodiments, inert gas is incorporated into the plasma that is in plasma source downstream and spray head upstream, thereby guiding gas enters in the reaction chamber.Implant the resist reaction with the plasma-activated gas that inert gas flows with high dose, thereby both remove with crust layer and body resist layer, with low silicon loss make surface of the work roughly do not have residue and.
In one aspect of the invention, its method comprise according to below operate in the process chamber and remove material from workpiece: to plasma source, introduce gas, weak oxidant and the fluoro-gas that comprises element hydrogen; Gas from be incorporated into described plasma source produces plasma; Reach in described plasma source downstream and workpiece upstream introducing inert gas.Described plasma-activated gas is advanced to workpiece, and with reaction chamber in the inert gas combination of spray head upstream.Charge species in the described plasma can discharge or partial discharge when touching spray head.
The plasma-activated gas that comprises element hydrogen, weak oxidant and fluoro-gas stream with inert gas arrive workpiece and with react from workpiece material.The example of weak oxidant comprises the combination of carbon dioxide, carbon monoxide, nitrogen dioxide, nitrogen oxide, water, hydrogen peroxide or these gases.Described weak oxidant is preferably carbon dioxide.Described fluoro-gas can be carbon tetrafluoride, comprise combination of other fluorocarbon of HFC, element fluorine, Nitrogen trifluoride, sulfur hexafluoride, these gases or the like.Described fluoro-gas is preferably carbon tetrafluoride.Described inert gas can be the combination of argon, helium, nitrogen, these gases, or the like.Preferred inert gas is an argon.The gas that is incorporated in the plasma source can be pre-mixed or not be pre-mixed, and can comprise volume and be about 1% to 99% or about 0.1% to about 10% or about weak oxidant of 3% to 5%.Described inert gas can element hydrogen about 0.15 times and 10 times or about 2 times volume flow rate of volume flow rate introduce.At the workpiece place, described gas can comprise volume and account for 1% weak oxidant material and volume at the most and account for 0.1% to 0.5% fluoro-gas material.
In certain embodiments, the material that removes from surface of the work is that high dose is implanted resist.Described workpiece can be 300 millimeters a wafer.Can use the RF power between 300 watts and about 10 kilowatts remotely to produce plasma.Described workpiece temperature when touching gas can be about 160 to 500 degrees centigrade.Processing pressure can be between about 300 millitorrs and 2 millitorrs.
According to various embodiment, remove high dose with speed from surface of the work and implant resist, and remove silicon from surface of the work with the total speed that is not more than about 4 nm/min at least about 100 nm/min.Removing back gained workpiece does not roughly have high dose to implant resist, and has the silicon less than 3 dusts to lose from the silicon layer that underlies approximately.
Another aspect of the present invention involves the rapid method of multistep that removes the resist of high dose implantation in the reaction chamber from surface of the work.Described method comprises: remove the first of described material by introduce first gas that comprises element hydrogen, weak oxidant (and fluoro-gas is not essential) in plasma source with first overall flow rate; First gas from be incorporated into described plasma source produces first plasma; Introduce first inert gas at described plasma downstream and described workpiece upstream; And first and described potpourri from workpiece material are reacted.Described method also comprises: by introduce the second portion that second gas that comprises hydrogen and weak oxidant (and fluoro-gas is not essential) removes described material in plasma source with second overall flow rate; Second gas from be incorporated into described plasma source produces second plasma; Introduce second inert gas at described plasma downstream and described workpiece upstream; And the second portion from workpiece material is reacted.Described first and second gas complex is different.In certain embodiments, described first or described second gas at least one comprise fluoro-gas.In certain embodiments, workpiece loses from the silicon layer that underlies removing the silicon that does not roughly have residue when technology finishes and had an appointment less than 3 dusts.The described operation that removes second portion can be carried out before the operation that removes first.In certain embodiments, remove that one or more in the operation repeat once or once with described.These remove operation and can carry out in the identical or different reaction station in reaction chamber.
In aspect another, the present invention relates to a kind of equipment that is used for removing from surface of the work material, described equipment comprises reaction chamber and controller.Described reaction chamber comprises: plasma source; Be used for introducing the gas access of the gaseous mixture that comprises element hydrogen to described plasma source; Be used for gas access to described plasma source downstream and workpiece upstream introducing inert gas; Be positioned the spray head in downstream, described gas access; And be positioned at the workpiece support in described spray head downstream.Described workpiece support comprises a base and is used for controlling the temperature control device of the temperature that is supported in the workpiece on the workpiece support.Described controller is configured to carry out one group of instruction, wherein comprises the instruction of carrying out following operation: quote the gas that comprises hydrogen, weak oxidant and fluoro-gas in plasma source; Gas from be incorporated into described plasma source produces plasma; Introduce inert gas at described plasma downstream and workpiece upstream; And the instruction of using different in flow rate and gas complex to repeat described introducing gas, produce plasma and introducing inert gas according to circumstances.According to the inventive method and the employed plasma source of equipment can be in the some kinds of conventional plasma sources any.For example, can use RF ICP source.
According to the inventive method and the employed process chamber of equipment can be any suitable process chamber.Described process chamber can be a chamber in the multicell equipment, and perhaps it can be the part of single chamber equipment.In certain embodiments, described reaction chamber comprises a plurality of stations, and wherein at least one station comprises a plasma source, a plurality of gas access, a spray head and a workpiece support.
Hereinafter be described in more detail these and other feature of the present invention and advantage with reference to the accompanying drawings.
Description of drawings
Fig. 1 shows according to institute ask some embodiment that invents and be suitable for putting into practice the schematic illustration of the equipment of the method for inventing of asking.
Fig. 2 A to 2D describe that ion is implanted and strip operation before and after semiconductor each stage of making.
Fig. 3 is the process chart that shows according to the various operations of certain embodiments of the invention.
Fig. 4 A to the photoresist pattern of peeling off under 4D is depicted in various conditions according to various embodiments of the present invention peel off preceding and peel off after the SEM photo.
Fig. 5 A is to use the silicon loss curve according to the HDIS of the various carbon dioxide flow velocitys of various embodiments of the present invention.
Fig. 5 B is to use the silicon loss curve according to the HDIS of the various carbon tetrafluoride flow velocitys of various embodiments of the present invention.
Embodiment
Brief introduction
In following detailed description of the invention, numerous specific embodiments have been set forth to provide to thorough of the present invention.Yet the person of ordinary skill in the field should understand, can not put into practice the present invention with these details or by use alternative elements or technology.In other example, do not describe well-known technology, program and assembly in detail, in order to avoid unnecessarily fuzzy each side of the present invention.
In this application case, term " workpiece ", " semiconductor wafer ", " wafer " reach " integrated circuit that part is made " and will use interchangeably.The person of ordinary skill in the field will understand, term " part make integrated circuit " can refer to thereon integrated circuit make in silicon wafer during arbitrary stage in many stages.Below describe the supposition the invention process in detail on wafer.Yet, the invention is not restricted to this.Described workpiece can be different shape, size, and can be made by various materials.Except that semiconductor wafer, can utilize other workpiece of the present invention to comprise such as various goods such as display, printed circuit board (PCB)s.
As previously mentioned, the inventive method and equipment are used in and effectively and advantageously remove photo anti-corrosion agent material after high dose ion is implanted.The invention is not restricted to the high dose implantation and peel off (HDIS).The present invention also is not limited to the adulterant of any particular types of being implanted.For example, described method and apparatus can be advantageously peeled off use after medium or low dosage are implanted.Though discussed such as concrete dopant ion such as boron, arsenic and phosphorus, described method and apparatus can be advantageously used in the resist of peeling off with such as other adulterant dipping such as nitrogen, oxygen, carbon, germanium and aluminium.
The name of filing an application on February 27th, 2007 is called the U.S. patent application case the 11/712nd of " the low K film of the enhancement mode of using gas downstream to mix is peeled off (Enhanced Stripping of Low-K Films Using Downstream Gas Mixing) ", reach the name of filing an application for No. 253 and be called " the low K film of the enhancement mode of using gas downstream to mix is peeled off (Enhanced Stripping of Low-K Films Using DownstreamGas Mixing) " as United States Patent (USP) the 7th on Dec 13rd, 2004,202, No. 176 and the issue U.S. patent application case the 11/011st, discuss in No. 273 and disclosed and be used for the whole bag of tricks and the equipment that photoresist is peeled off, the whole disclosure of these application cases is incorporated herein with way of reference for various purposes.
The inventive method and equipment use the plasma that produces from hydrogen-containing gas.Described gas also contains weak oxidant and fluoro-gas.The person of ordinary skill in the field will recognize, actually exist in material in the plasma and can be the potpourri of different ions, atomic group and the molecule of deriving from hydrogen, weak oxidant and fluoro-gas.It should be noted that in the reaction chamber also can have other material, for example, little hydrocarbon, carbon dioxide, water vapor and other and plasma reaction and the volatile constituent of decomposing organic photoresist and other residue.The person of ordinary skill in the field also will recognize, be incorporated in the plasma initial gas usually with plasma in the gas that exists and during peeling off the gas on contact workpiece surface different.
Fig. 1 is the schematic illustration according to the equipment 100 of some embodiment of ask invention.Equipment 100 has plasma source 101 and process chamber 103, and the two is separated by spray head subassembly 105.Plasma source 101 is connected to gas access 111.Spray head 109 forms the bottom of spray head subassembly 105.Inert gas entrance 113 is positioned at plasma source 101 downstreams and wafer 123 and spray head 109 upstreams.In process chamber 103 inside, wafer 123 is held on the pressing plate (platform) 117 with photoresist/dry ecthing byproduct material.Pressing plate 117 can be equipped with temperature control device, and described temperature control device can heat or cool off the wafer on the pressing plate where necessary.In certain embodiments, pressing plate 117 also is configured for use in to wafer 123 and applies bias voltage.In reaction chamber 103, reach low pressure via vacuum pump and conduit 119.
In operation, via gas access 111 gas is incorporated into plasma source 101.The gas that is incorporated into plasma source contains chemically reactive substance, and described chemically reactive substance will be ionized in plasma source to form plasma.Gas access 111 can be the gas access of any kind and can comprise a plurality of ports or spout.Plasma source 101 is that the active substance that is incorporated in the gas in described source produces to form the place of plasma.In Fig. 1, show that the RF plasma source has inductive coil 115, described inductive coil 115 forms plasma when energising.Introduce inert gas via gas access 113 to spray head upstream and plasma source downstream.Described inert gas mixes with plasma.Gas access 113 can be the gas access of any kind, and can comprise a plurality of ports or spout to optimize mixing of inert gas and plasma.Spray head 109 directing plasmas/noble gas mixtures enters into process chamber 103 by spray head hole 121.The spray head hole 121 that can have any number and any layout is so that the uniformity coefficient maximization of the plasma/gas potpourri in the process chamber 103.Spray head subassembly 105 can maybe can be applied with voltage electrical ground, and it can be caught and discharge some ions and change the composition that flow into the gas in the process chamber 103 thus: promptly, described gas will contain the neutral substance ratio of increase.As mentioning in the literary composition, can to wafer 123 carry out temperature control and/maybe can apply the RF bias voltage.Described plasma/noble gas mixtures removes photoresist/etch byproducts material from wafer.
In some embodiment of ask invention, described equipment does not comprise spray head subassembly 105 and spray head 109.In these embodiments, inert gas entrance 113 is introduced directly into inert gas in the process chamber, and mix with plasma inert gas wafer 115 upstreams in described process chamber.Can use the plasma source 101 and the inductive coil 115 of various configurations and geometry.For example, inductive coil 115 can be staggered pattern and is looped around around the plasma source 101.In another example, plasma source 101 can be domed shape and non-cylindrical shape.
Suitable plasma apparatus comprises (Novellus Systems, Inc.) gamma of being supplied (Gamma) 2100,2130, I by California (CA) San Jose (San Jose) incorporated company of Nuo Fa system 2CP (staggered inductively coupled plasma), G400 and GxT. miscellaneous equipment comprise from the melt run (Fusion line) of Rockville city, the Maryland State (Maryland) (Rockville) Ya Sheli Science and Technology Co., Ltd. (Axcelis Technologies Inc.), from the TERA21 of Korea S PSK Science and Technology Co., Ltd. (PSK Tech Inc.) and from the A Sipeng instrument (Aspen tool) of Freemont city, California (CA) (Fremont) Matteson Science and Technology Co., Ltd. (MattsonTechnology Inc.).
Fig. 2 A to 2D describe that ion is implanted and strip operation before and after semiconductor each stage of making.Fig. 2 A shows the Semiconductor substrate 201 that is coated with photo anti-corrosion agent material 203.Substrate 201 can comprise one or more deposit thin film layers, for example, sull, silicide contact and/or polysilicon membrane, perhaps it can be the silicon substrate that exposes, wherein including (for example) the silicon on insulator type substrate.At first, photo anti-corrosion agent material coating entire substrate surface.Then photoresist is exposed to by the patterned radiation of mask generation and with it and develops, for example the opening 204 between residue photo anti-corrosion agent material 203 shown in Fig. 2 A to remove the part material.
Expose substrate then to carry out ion implantation technology.During ion is implanted, implant dopant ion to workpiece or wafer surface.Described technology can be that (for example) plasma immersion formula ion is implanted (PIII) or ion beam is implanted.Described ion bombardment substrate surface wherein comprises through exposed silicon areas 201 and photoresist 203.Implant by energetic ion, a spot of material 207 that underlies can be splashed to the photoresist sidewall.Referring to Fig. 2 B.This material can comprise other material implanted in material, plasma or the ion beam and a part of implanting accessory substance.It comprises silicon, aluminium, carbon, fluorine, titanium, such as other slider material of cobalt and the oxygen of element and two kinds of forms of compound.Practical substances depends on the composition of substrate, photoresist and the material of implanting before ion is implanted.
Through exposed silicon areas 201 places, form through doped region 209.The ion energy of described bombardment or intensity the decision described degree of depth and thickness through doped region.The density decision doping rate of ion flow.
Described ion also floods the photoresist surface, thereby forms crust layer 205.Crust layer 205 can be through the high cross-linked polymer chain of carbonization.The common depleted hydrogen of described duricrust and to implant the material dipping.Crust layer 205 is more closely knit than body resist layer 203.Described relative density depends on ion-flow rate, and the thickness of crust layer then depends on ion energy.
This crust layer 205 is than body photoresist 203 more difficult the peeling off of its below.Crust layer remove the comparable underlying mass photoresist slow 50% or 75% of speed.Described body photoresist contains chemical bonded refractory nitrogen and a part of original cast solvent thereof of relative higher level.When raising (for example, more than 150 ℃ to more than 200 ℃) at chip temperature, described body resist can be exitted and be expanded with respect to crust layer.When the underlying mass photoresist had increased the pressure under the duricrust, whole photoresist is " explosion " therefore.The photoresist explosion is a reason of particle and defective workmanship, because its residue especially is difficult to wash from wafer surface and chamber interior part.Because high dose ion is implanted, the density difference between crust layer and the underlying mass photoresist layer becomes bigger.It is thicker that described duricrust also can become.
Fig. 2 C is presented at the substrate afterwards of peeling off that can't remove photoresist 205 and sidewall sputter residue 207 fully.Sidewall sputter residue 207 can be included in routine and peel off the particle that does not form volatile compound under the chemical process.These particles still can exist behind the strip operation of routine.Described residue also can comprise the oxide of peeling off the implantation material that employed reactive oxygen forms in the chemical process with conventional, for example boron oxide and arsenic oxide arsenoxide.The several portions of duricrust 205 also can remain on the substrate.The duricrust sidewall of photoresist via bottoms and turning can be difficult to peel off because of its geometry.
These residual particles can remove by excessively peeling off in some cases, use to fluoridize chemical substance or wafer wet to clean.Found excessively to peel off and in conventional oxidation process, can cause the silicon oxidation of not expecting and still can not remove boron oxide and arsenic oxide arsenoxide residue (if existence).Fluorinated compound in the plasma that use produces according to the present invention can produce fluorine atom group, and described fluorine atom is rolled into a ball and can be formed the volatility boron fluoride and fluoridize arsenic.Help to remove residue like this, but regret also can be simultaneously with underlie silicon and monox from substrate removal.Use is peeled off according to the embodiment of the invention specific and is fluoridized chemical substance and can alleviate this problem.
Silicon loses with resist thickness, duricrust thickness and excessively peels off number percent and changes.In order to remove than longer time of thick resist and to have more the rodent also removable more silicon of peeling off.For the resist with thicker duricrust, the difference between crust layer and the body resist layer is more obvious.Thicker duricrust sidewall and the turning is more difficult peels off.Therefore, often also can remove more silicon through design with the stripping technology that removes thick duricrust.Except that residue removing, excessively peel off and also can be used for solving resist uniformity coefficient and geometry problem.Excessively peel off is remove the stripping technology of proceeding after the point that the nominal of whole photoresist institute needs surpassing.If photoresist is removed in some zone of wafer fully, and is not removed fully in other zone, proceed stripping technology so and will cause extra material (being generally silicon and monox) is removed from the zone of having peeled off.Usually excessively peel off and be about 100%.
Fig. 2 D is presented at the substrate after all residues all have been removed.Preferably, there be not further silicon loss and oxidation and postponing to remove residue under the minimum situation.Therefore more preferably, stripping technology does not stay any residue and has reduced the number of processing step.
Disclosed Processes and apparatus use have weak oxidant and fluoro-gas based on the plasma chemistries of hydrogen to realize that the silicon loss is minimum and roughly not have the stripping technology of residue.Think silicon loss reduced be because the fluorine atom group in the plasma with handle hydrogen combination in the gas forming hydrogen fluoride (HF), rather than exist and the etching silicon that underlies with fluorine atom group form.The combination that has proved carbon dioxide and carbon tetrafluoride in the plasma can be checked or defect inspection tools (for example rising the defect inspection tools of Sai Er (KLA-Tencor) from the KLA of California (CA) Mil's Pitta this (Milpitas)) peels off described back high dose and implants photoresist and make substrate not have residue or roughly do not have residue based on SEM.This process can excessively peeling off of minimum degree be finished (for example, excessively peeling off less than about 100%).According to various embodiment, when detecting approximately less than 3% small pieces, defect inspection tools is examined out when having polymer deficiency, can indicate a situation that does not roughly have a residue.
Acceptable minimum silicon loss can be about 3 dusts or still less, preferably less than about 1 dust.The device demand has promoted this minimum silicon loss, and irrelevant with resist thickness that can influence the silicon loss and other factors.Measure for reducing mistake, handle and measure silicon loss by before using the structural silicon loss of electron microscope (for example transmission electron microscope) measurement mechanism, in same stripping technology, wafer being carried out several times (for example 5 times) earlier usually.Can use the average silicon loss of acquisition like this to come more various technologies.
Technological parameter
The upstream inlet gas
Introduce the hydrogen-containing gas that generally includes element hydrogen to plasma source.The gas that is incorporated into plasma source contains chemically reactive substance usually, and described chemically reactive substance will be ionized in plasma source to form plasma.The gas that is incorporated into plasma source comprises fluoro-gas such as carbon tetrafluoride, comprises C 2F 6And other fluorocarbon, element fluorine, Nitrogen trifluoride, the sulfur hexafluoride of HFC.In certain embodiments, described fluoro-gas is a carbon tetrafluoride.In some specific embodiment, the gas that is incorporated into plasma source comprises that volume accounts for the carbon tetrafluoride between about 0.1% to about 3%.The gas that is incorporated into plasma source can comprise weak oxidant, for example, and carbon dioxide, carbon monoxide, nitrogen dioxide, nitrogen oxide and/or water.In certain embodiments, described weak oxidant is a carbon dioxide.
According to various embodiment, described inlet gas can comprise the fluorochemicals of the percent by volume between the weak oxidant and about 0.1 and 10 of the percent by volume between the percent by volume or 0 and 10 between the molecular hydrogen, about 0 and 99 of percent by volume between the percent by volume, about 80 and 99.9 between about 1 and 99 or about 95 percents by volume.In certain embodiments, described inlet gas can comprise the fluorochemicals of the percent by volume between the weak oxidant and about 0.1 and 1 of the percent by volume between the molecular hydrogen, about 0.1 and 3 of the percent by volume between about 95 to 99.In specific embodiment, the gas that is incorporated into plasma source comprises the carbon dioxide and about 1% or carbon tetrafluoride still less of about element hydrogen of 95% to 99%, about 1-3%, and all these ratios all by volume.
The gas that is incorporated into plasma source can be that be pre-mixed, partially mixed or unmixing.Individual gas sources can flow into earlier in the mixed ventilation chamber before being incorporated into plasma source.In other embodiments, described gas with various can enter plasma source individually.The gas that is incorporated into plasma source can have different compositions when being used for the differential responses station of multistation chamber.For example, in chamber, 6 station, stand 1 or stand and 6 can adopt processing gas to remove duricrust or residue respectively with higher relatively fluoro-gas amount.One or more other stations can be adopted has the processing gas that seldom or does not have fluoro-gas.Also can use processing gas with carbon dioxide and weak oxidant.
The method that the plasma based on hydrogen that use has a weak oxidant is peeled off photoresist and etching material is disclosed in United States Patent (USP) the 7th, 288, and in No. 484, its whole content is incorporated herein with way of reference for all purposes.
Plasma generation
Can use according to various types of plasma sources of the present invention, wherein comprise RF, DC and based on the microwave of plasma source.In a preferred embodiment, use downstream RF plasma source.Usually, the RF plasma power of 300 millimeters wafer be in about 300 watts in about 10 kilowatts of scopes.In certain embodiments, the RF plasma power is between about 1000 watts and 2000 watts.
Inert gas
Can use various inert gases in the stripping technology.As explaining in the literary composition, in the plasma source downstream and the spray head upstream introduce these gases for mixing with plasma.In certain embodiments, described inert gas is argon or helium.In specific embodiment, described inert gas is an argon.Yet, can use any inert gas, wherein comprise nitrogen and helium.In certain embodiments, the inert gas flow velocity is about between 0.15 times and 10.0 times of hydrogen flow velocity.In some specific embodiment, the inert gas flow velocity is about between 1 times and 3 times of hydrogen flow velocity or is about its 2 times.
Inert gas entrance
Inert gas entrance can be in various types of gas accesses any and can comprise a plurality of ports or spout mixes with plasma promoting.Also can optimize the angle of inlet nozzle so that farthest mix.In one embodiment, there are at least four inert gas inlet nozzles.In another embodiment, there are 16 inlet nozzles.In some specific embodiment, the angle of measuring the inlet nozzle of gained from the plasma source bottom is a zero degree, so that inert gas is injected into from the direction that plasma source enters spray head subassembly (perhaps, entering process chamber under the situation that does not have the spray head subassembly) perpendicular to plasma flow.The angle of zero degree is also corresponding to the direction that is parallel to the workpiece face.Certainly, also can adopt other inlet angle, though described in many examples angle is parallel to the workpiece face usually.
The spray head subassembly
According to various embodiments of the invention, plasma gas is assigned to surface of the work via the spray head subassembly.But described spray head subassembly ground connection maybe can be applied with voltage (for example, 0-1000 watt bias voltage) and flow to wafer to attract some charge species and don't can have influence on neutral substance.Many charge specieses in the plasma reconfigure at the spray head place.Described subassembly comprises spray head itself, and described spray head can be that bootable plasma and noble gas mixtures enter the perforated metal plate in the reaction chamber.Described spray head is redistributed the reactive hydrogen from plasma source in big zone, thereby allows to use less plasma source.The number in described spray head hole can be set and arrange to optimize detachment rate and detachment rate uniformity coefficient.If plasma source is positioned at the wafer top center, then the spray head hole of spray head central authorities can be preferably littler and still less to push active gases to outside area.Described spray head can have at least 100 holes.Suitable spray head comprise can be from California (CA) San Jose (San Jose) incorporated company of Nuo Fa system (Novellus Systems, the gamma of Inc.) buying (Gamma) xPR spray head or GxT fall into the formula spray head.
Do not exist therein among the embodiment of spray head subassembly, plasma and noble gas mixtures directly enter process chamber.
Process chamber
Described process chamber can be any appropriate reaction chamber of the strip operation that is used for carrying out.It can be a chamber in the multicell equipment, or it can only be a single chamber equipment.Described chamber also can be included in a plurality of stations of wherein handling different chips simultaneously.Described process chamber can be wherein implant, etching or other be the same chamber of the technology of media with the resist.In other embodiments, keeping independent chamber is used to peel off.Chamber pressure can be in the scope between about 300 millitorrs to 2 holder.In certain embodiments, described pressure is in from about 0.9 and holds in the palm in the 1.1 holder scopes.
Described process chamber comprises one or more treating stations of carrying out strip operation thereon.In certain embodiments, described one or more treating stations comprise a preheating station, at least one waste station and is crossed the ashing station.Fig. 1 and associated text have disclosed the various features of process chamber and treating stations.Described die support is configured to supporting wafers during handling.Described die support also can reach from the wafer transferring heat to regulate chip temperature on demand to wafer during handling.In certain embodiments, described wafer is supported on a plurality of minimum contact and does not physically contact described die support surface.One axle is picked up wafer and described wafer is transferred to another station from a station.
Suitable plasma chamber and system comprise (Novellus Systems, Inc.) gamma of being supplied (Gamma) 2100,2130, I by California (CA) San Jose (San Jose) incorporated company of Nuo Fa system 2CP (staggered inductively coupled plasma), G400 and GxT. miscellaneous equipment comprise from the melt run (Fusion line) of Rockville city, the Maryland State (Maryland) (Rockville) Ya Sheli Science and Technology Co., Ltd. (Axcelis Technologies Inc.), from the TERA21 of Korea S PSK Science and Technology Co., Ltd. (PSK Tech Inc.) and from the A Sipeng instrument (Aspen tool) of Freemont city, California (CA) (Fremont) Matteson Science and Technology Co., Ltd. (MattsonTechnology Inc.). In addition, various stripping cells can be configured on the cluster tool.For example, stripping cell can be added to can (CA) holy Plutarch draws the Applied Materials (Applied Materials) of (Santa Clara) to buy from California gloomy soil draw (Centura) cluster tool.
Workpiece
In a preferred embodiment, the workpiece that uses according to the inventive method and equipment is semiconductor wafer.Can use the wafer of any size.Most of modern wafer manufacturing facilities use the wafer of 200 millimeters or 300 millimeters.Disclose as mentioned, Processes and apparatus disclosed herein is peeled off photoresist after such as processing operations such as etching, ion implantation or depositions.The present invention is applicable to and has very small feature or critical dimension the wafer of (for example, 100 nanometers are following, 65 nanometers or 45 nanometers or less than 45 nanometers).The low silicon loss feature of the HDIS that is disclosed is particularly useful for the utmost point shallow junction of higher-order logic device.The present invention also is specially adapted to experience the wafer that production line front end (FEOL) ion is implanted (especially high dose ion implantation).
Photoresist on described plasma-activated material and the wafer and the reaction of sputter residue.At the wafer place, reactant gas can comprise some plasma-activated materials, inert gas, atomic group, charge species and gaseous by-product.The volumetric concentration of various hydrogen materials is about the 20-80% of wafer place gas.The volumetric concentration of various fluorine materials can be 0.01% to about 2% or less than 1%.The volumetric concentration of the various materials in the weak oxidant can be 0.05 to about 5% or about 1.2%.These materials can comprise H 2 *, H 2 +, H +, H *, e -, OH, O *, CO, CO 2, H 2O, HF, F *, F -, CF, CF 2And CF 3
The visual wafer size of process conditions and difference.In certain embodiments of the invention, need when surface of the work spreads plasma, workpiece remained under the specified temp.Chip temperature can be in the scope between about 110 degrees centigrade and about 500 degrees centigrade.For reducing the possibility of photoresist explosion as described above, preferably slowly increase chip temperature up to removing enough duricrusts and the photoresist explosion no longer becomes a problem.Just the initial station temperature can be about 110 degrees centigrade to about 200 degrees centigrade, for example, and about 180 degrees centigrade.Station subsequently can successfully be used under good detachment rate such as 285 degrees centigrade and about 350 degrees centigrade higher temperature.
Technological process:
Fig. 3 is the process chart that shows according to the various operations of certain embodiments of the invention.On the die support of wafer orientation in reaction chamber.At operation 301 places, introduce hydrogen-containing gas to plasma source.In operation 303, produce plasma from described gas.Along with more gases add described plasma source to, described plasma flow further downstream is also mixed with the inert gas of introducing at operation 305 places.Some charge species material that activates to form neutrality capable of being combined in the plasma.Described activating substance with inert gas flow through the spray head panel and in operation 307 with wafer surface on photoresist reaction.Described reaction can produce volatile byproducts, and described accessory substance can remove near processing region with vacuum pump at operation 309 places.Can use different technical parameters that described technology is repeated once or once.For example, can be at the repetition heating or the cooling wafer of described technology.In another example, can use different initial hydrogen-containing gas and inert gas complex and flow velocity.Preferably, at least one in the described repetition comprises the gas based on hydrogen of containing element hydrogen, carbon dioxide and carbon tetrafluoride.The one or more hydrogen-containing gas that do not comprise carbon dioxide and carbon tetrafluoride that comprise in the described repetition.
According to various embodiment, described various repetitions can for example, have the duricrust of as above argumentation and the back high dose in body resist district and implant resist through design with the photoresist at different piece.In first waste station first peeled off repetition can be through design to peel off crust layer.Described first peels off repetition can comprise and use element hydrogen, carbon dioxide (or another weak oxidant) (and carbon tetrafluoride (or another fluoro-gas) is not essential) to peel off crust layer especially.When crust layer enough approached or removes fully, second peels off repetition can peel off the body resist usually together with residue and residue crust layer under higher chip temperature.Described second stripping technology can be carried out in the treating stations that is different from first stripping technology.Described second stripping technology can adopt the plasma that does not use weak oxidant or fluoro-gas or both all not to use and produce.After removing the body resist, the another stripping technology of employing gas with various complex can be through design to peel off the residue (if there is).It is any through oxidation implantation material that this residue stripping technology can adopt fluoro-gas to remove.As described above peel off repetition can any order or frequency carry out, this is by deciding treating stations number and forming of photoresist to be stripped.The person of ordinary skill in the field can adjust notion that this paper discusses has the thicker of lower or higher anti-patience or than shard to peel off to lift-off chemistry.In addition, the notion that this paper discussed is applicable to other situation: by using different lift-off chemistry to peel off at different resist layers to have an above photoresist layer of different qualities.
Example 1
In this example, research carbon dioxide and carbon tetrafluoride are to the influence of residue.Come the wafer of 300 millimeters sizes of patterning and it is carried out the ion implantation with the structure of 45 nanometers with the LDD in the P+ district (lightly doped drain).It is thick that the resist that the back high dose of gained is implanted is about 2000 dusts, and have the thick duricrust of about 630 dusts.
In stripping cell, peel off described wafer with 5 plasma stations.Described plasma produces under 2000 watts RF power.Described wafer is exposed to plasma-activated reactant gas at each place, station reaches about 20 seconds, and exposure reaches 97 seconds altogether.The temperature of die support is 350 degrees centigrade.Chamber pressure is 900 millitorrs.The hydrogen flow velocity is 6slm (standard state rises per minute), and the downstream argon flow rate is 14slm.The carbon dioxide flow velocity 0 and 150sccm (standard state cubic centimetre per minute) between do not wait.The carbon tetrafluoride flow velocity 20 and 40sccm between do not wait.Notice that these flow velocitys are the overall flow rates with the whole chamber at 5 plasma stations.Each station receives about 1/5 of described overall flow rate.
Fig. 4 A before 4D describes to peel off with various plasma-activated reactant gases and after the SEM photo of wafer.The sub-fraction of the wafer before Fig. 4 A describes to peel off.Structure 401 is that the back high dose is implanted resist.Pad is included in the structure 403 that removes photoresist during the Patternized technique thereon on 405.HDIS technology removes structure 401 thus.
In first wafer of being described in as Fig. 4 B, the carbon tetrafluoride of 20sccm and the carbon dioxide of 150sccm add hydrogen to form plasma.After described technology, stay worm shape residue 407.In second wafer of being described as Fig. 4 C, the carbon tetrafluoride of 40sccm and the carbon dioxide of 150sccm add hydrogen to form plasma.This peels off and does not produce any residue, shown in Fig. 4 C.In the another wafer of being described as Fig. 4 D, the carbon tetrafluoride of 40sccm adds hydrogen to and does not add carbon dioxide to form plasma.Observe formed worm shape residue 407 once more.This result shows, carbon dioxide is added can produce no residue film in based on the HDIS of hydrogen with carbon tetrafluoride.
Example 2
In this example, check the influence of carbon dioxide flow velocity and carbon tetrafluoride flow velocity independently to the silicon loss.Measurement remains on the carbon tetrafluoride constant flow rate 40sccm under the process conditions identical with example 1 situation carbon dioxide flow velocity is 0,50,100 and the silicon loss of HDIS during 150sccm.The result is drawn among Fig. 5 A.It is minimum and the highest when not adding carbon dioxide when silicon is lost in the carbon dioxide flow velocity and is 150sccm.This result shows, exists some carbon dioxide can reduce the silicon loss in the plasma.
Also measure carbon tetrafluoride flow velocity under the situation that under the process conditions identical, the carbon dioxide constant flow rate is remained on 150sccm and be 0,40,60,80 and the silicon loss of HDIS during 100sccm with example 1.The result is drawn among Fig. 5 B.The silicon loss seems to reach peak value when the carbon tetrafluoride flow velocity is 60 to 80sccm.
These results show that the silicon loss is subjected to the flow rate effect of carbon dioxide and carbon tetrafluoride.For a certain thin films, the person of ordinary skill in the field can design a kind of HDIS technology that makes the silicon minimization of loss and make no residue on the described film.
Example 3
In another example, lose and peel off the gas with various complex is used in residual crop investigations at place, difference station influence at silicon.Its process conditions are identical with the process conditions of example 1, except that the die support temperature is 250 degrees centigrade.In first method, in all stations, adopt carbon tetrafluoride with the overall flow rate of 40sccm.In second method, carbon tetrafluoride only is delivered to RF station 1 and 2 with the overall flow rate (every station 10sccm) of 20sccm.The carbon dioxide constant flow rate is remained on 150sccm.
In both cases, all after HDIS technology, obtain no residue substrate.Average silicon loss in first method is every circulation 8.1 dusts, and the average silicon loss in second method is 6.7 dusts, has reduced about 17%.A circulation is meant the complete instrument that once passes through, and wherein is included in all stations and handles.This result shows, uses the order stripping technology of gas with various complex can reduce the silicon loss and keep no residue substrate simultaneously.
Example 4
In this example, study the short processes time at every station and the influence of low carbon tetrafluoride flow velocity.In first method, not adding carbon tetrafluoride and process time is every station 20 seconds.In second method, with the flow velocity interpolation carbon tetrafluoride of 10sccm, there is 10 seconds stripping technology time at wherein every station.In these two methods, the die support temperature is 285 degrees centigrade.
In first method, owing to do not have carbon tetrafluoride in the plasma, thereby peeling off back discovery residue.The average silicon loss of every round-robin is 1.93 dusts.In second method, because the carbon tetrafluoride flow velocity reduces and technology reduces, described substrate does not have residue and has the average silicon loss of every circulation 3.12 dusts.Though first method has lower silicon loss, substrate is not have residue after peeling off.This result shows, can use the carbon tetrafluoride flow velocity and the short process time that reduce to produce no residue substrate.
Example 5
In this example, carbon tetrafluoride is incorporated in the plasma source at place, different station.In first method, the carbon tetrafluoride of 5sccm is incorporated in the RF station 1.In second method, the carbon tetrafluoride of 5sccm is incorporated in the RF station 3.After the first circulation back and the 5th circulation, measure the silicon loss and ask average.Other technological parameter is identical with the technological parameter of example 1.
First method produces no residue substrate.Silicon loss after using first of carbon tetrafluoride to circulate is 14.4 dusts.Silicon loss after the 5th circulation is 18.6 dusts.The average silicon loss of every round-robin reduces from 14.4 dusts to 3.7 dusts.
Behind the HDIS of second method of use, can on substrate, observe a spot of residue.Silicon loss after first circulation is 6.9 dusts, and it is less than the silicon loss of first method.Silicon loss after the 5th circulation is 10.3 dusts.The average silicon loss of every round-robin reduces from 6.9 dusts to 2.1 dusts.
This result shows that the silicon loss of using this chemical substance is from restricted reaction, and wherein most of silicon is lost in first circulation and takes place.Extra processing does not remove more silicon.It is more favourable that this comparable wherein silicon loss and proportional conventional oxygen of processing time and fluorine are peeled off chemical process.Under situation about must excessively peel off (for example, when photoresist is in uneven thickness), the oxidation material will cause than the more silicon loss of the hydrogenation material that is disclosed.
This result shows that also under the situation of not using carbon tetrafluoride, the loss of the first circulation silicon can reduce.The person of ordinary skill in the field can postpone the introducing of carbon tetrafluoride to reduce total silicon loss.
Notice that the experimental result that shows these instantiations is to illustrate and the validity of graphic extension the inventive method and be not intended to and limit the invention to any specific embodiment.

Claims (29)

1. method that in reaction chamber, removes material from surface of the work, described method comprises:
The gas that will comprise element hydrogen, weak oxidant and fluoro-gas is incorporated in the plasma source;
Produce plasma from the described gas that is introduced in the described plasma source; And
In described plasma source downstream and described workpiece upstream introduce inert gas,
The wherein said gas that comprises element hydrogen, described weak oxidant and described fluoro-gas with described inert gas flow to described workpiece and with described material reaction from described workpiece.
2. the method for claim 1, wherein said inert gas is selected from the group that is made up of argon, helium, nitrogen and combination thereof.
3. the method for claim 1 is wherein introduced the spray head upstream that described inert gas is included in the described reaction chamber and is introduced described gas.
4. method as claimed in claim 3, the charge species in the wherein said plasma discharges when it touches described spray head.
5. the method for claim 1, wherein said weak oxidant is selected from the group that is made up of carbon dioxide, carbon monoxide, nitrogen dioxide, nitrogen oxide, water, hydrogen peroxide and combination thereof.
6. the method for claim 1, wherein said weak oxidant is a carbon dioxide.
7. the method for claim 1, the wherein said gas that is introduced in the described plasma source comprises the described weak oxidant of volume between about 0.1% to about 10%.
8. the method for claim 1, wherein said fluoro-gas is selected from the group that is made up of carbon tetrafluoride, element fluorine, Nitrogen trifluoride, sulfur hexafluoride, fluorocarbon, HFC and combination thereof.
9. the method for claim 1, wherein said fluoro-gas is a carbon tetrafluoride.
10. the method for claim 1, wherein said fluoro-gas is CF 4, C 2F 6, CHF 3, CH 2F 2, C 3F 8Or NF 3
11. the method for claim 1, the wherein said gas that is introduced in the described plasma source comprise the described fluoro-gas of volume between about 0.1% to about 3%.
12. the method for claim 1, the wherein said material that removes from described surface of the work comprise the resist that high dose is implanted.
13. the method for claim 1, the volume flow rate of wherein said inert gas are about between about 0.15 times and 10 times of volume flow rate of described element hydrogen.
14. the method for claim 1, the described volume flow rate of wherein said inert gas are at least about 2 times of volume flow rate of described element hydrogen.
15. the method for claim 1 wherein is pre-mixed the described gas that is introduced in the described plasma source.
16. the method for claim 1, wherein said workpiece are 300 millimeters wafer and produce described plasma by the RF power in the scope between about 300 watts and about 10 kilowatts.
17. the method for claim 1, the temperature of wherein said workpiece when touching the described gas that comprises element hydrogen, described weak oxidant and described fluoro-gas is between about 160 degrees centigrade and about 400 degrees centigrade.
18. the method for claim 1, the pressure in the wherein said process chamber is between about 300 millitorrs and about 2 holders.
19. the method for claim 1 wherein removes high dose with the speed at least about 100 nm/min from described surface of the work and implants resist, and removes silicon with the total speed that is not more than about 4 nm/min from described surface of the work.
20. method as claimed in claim 12, wherein said workpiece roughly do not have the residue of the resist of described high dose implantation after removing, and lose the silicon less than about 3 dusts in the silicon layer that wherein underlies.
21. a method that removes the resist of high dose implantation in reaction chamber from surface of the work, described method comprises:
Remove the first of described material, it comprises:
First gas that will comprise element hydrogen, weak oxidant and fluoro-gas with first overall flow rate is incorporated in the plasma source;
Produce first plasma from described first gas that is introduced in the described plasma source;
In described plasma source downstream and described workpiece upstream introduce first inert gas to form first potpourri;
First and described first potpourri from the described material of described workpiece are reacted;
Remove the second portion of described material, it comprises:
Second gas that will comprise hydrogen and weak oxidant with second overall flow rate is incorporated in the plasma source, and the composition of described second gas is different from described first gas;
Produce second plasma from described second gas that is introduced in the described plasma source;
In described plasma source downstream and described workpiece upstream introduce second inert gas to form second potpourri;
Second portion and described second potpourri from the described material of described workpiece are reacted;
Wherein underlie loss in the silicon layer less than the silicon of about 3 dusts, and
Wherein remove the described workpiece in back and roughly do not have residue at described material.
22. method as claimed in claim 21 is wherein carried out the described second portion operation that removes described before removing first operation.
23. method as claimed in claim 21 is wherein with described first and/or described second portion described removes that operation repeats once or once.
24. method as claimed in claim 21 is wherein carried out the described first that removes and is operated and remove described second portion operation in the differential responses station in described reaction chamber.
25. method as claimed in claim 21 is wherein carried out the described first that removes with different temperatures and is operated and remove described second portion operation in the differential responses station in described reaction chamber.
26. method as claimed in claim 21, wherein said second gas is roughly without any fluoro-gas.
27. an equipment that is used for removing from surface of the work material, it comprises:
Reaction chamber, it comprises:
Plasma source,
The gas access, its gaseous mixture that is used for comprising element hydrogen is incorporated into described plasma source,
The gas access, it is used in described plasma source downstream and inert gas is introduced in described workpiece upstream,
Spray head, it is positioned described gas access downstream part, and
Workpiece support, it is positioned at described spray head downstream part, and described workpiece support comprises base and is supported in the temperature control device of the temperature of the workpiece on the described workpiece support in order to control; And
Controller, it is used for the execution command collection, and described instruction set comprises in order to carry out the instruction of following operation
The gas that will comprise hydrogen, weak oxidant and fluoro-gas is incorporated in the plasma source,
Produce plasma from the described gas that is introduced in described plasma source, and
In described plasma source downstream and described workpiece upstream introduce inert gas.
28. equipment as claimed in claim 27, wherein said instruction further comprise in order to carry out the instruction of following operation
Use different in flow rate to repeat described introducing gas, produce plasma and introduce the inert gas instruction.
29. equipment as claimed in claim 27, wherein said reaction chamber comprises a plurality of stations, and each station includes plasma source, a plurality of gas access, spray head and workpiece support.
CN200810187894.4A 2008-10-14 2008-12-22 High dose implantation strip (hdis) in h2 base chemistry Expired - Fee Related CN101727024B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/251,305 2008-10-14
US12/251,305 US8193096B2 (en) 2004-12-13 2008-10-14 High dose implantation strip (HDIS) in H2 base chemistry

Publications (2)

Publication Number Publication Date
CN101727024A true CN101727024A (en) 2010-06-09
CN101727024B CN101727024B (en) 2014-03-19

Family

ID=42217340

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200810187894.4A Expired - Fee Related CN101727024B (en) 2008-10-14 2008-12-22 High dose implantation strip (hdis) in h2 base chemistry

Country Status (4)

Country Link
JP (1) JP5586077B2 (en)
KR (1) KR101791685B1 (en)
CN (1) CN101727024B (en)
TW (1) TWI497235B (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102955381A (en) * 2011-08-26 2013-03-06 诺发***公司 Photoresist strip processes for improved device integrity
CN103676501A (en) * 2012-09-11 2014-03-26 Psk有限公司 Method for treating substrate
CN108862200A (en) * 2018-07-31 2018-11-23 苏州香榭轩表面工程技术咨询有限公司 A kind of preparation method of ultra-pure hydrofluoric acid
CN111492458A (en) * 2017-12-15 2020-08-04 恩特格里斯公司 Method and assembly for plasma immersion gun (PFG) operation using fluorine-containing and inert gases
US11121229B2 (en) 2017-12-28 2021-09-14 Vanguard International Semiconductor Corporation Methods of fabricating semiconductor structures and high electron mobility transistors

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
JP6202423B2 (en) * 2013-03-05 2017-09-27 パナソニックIpマネジメント株式会社 Plasma cleaning method and plasma cleaning apparatus
TWI653683B (en) 2017-10-30 2019-03-11 世界先進積體電路股份有限公司 Methods for fabricating semiconductor structures and high electron mobility transistors

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1402316A (en) * 2001-08-16 2003-03-12 联华电子股份有限公司 Method for removing photoresistance layer in ion implantation mfg. process
CN1720349A (en) * 2002-12-02 2006-01-11 希姆科技有限公司 Apparatus for treating surfaces of a substrate with atmospheric pressure plasma
CN1868043A (en) * 2003-08-11 2006-11-22 艾克塞利斯技术公司 Plasma ashing process
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
CN101015042A (en) * 2004-09-07 2007-08-08 兰姆研究公司 Methods of removing photoresist on substrates
CN101131928A (en) * 2006-08-21 2008-02-27 兰姆研究有限公司 Trilayer resist organic layer etch

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3317209B2 (en) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 Plasma processing apparatus and plasma processing method
US20010027023A1 (en) * 2000-02-15 2001-10-04 Shigenori Ishihara Organic substance removing methods, methods of producing semiconductor device, and organic substance removing apparatuses
JP2001308078A (en) * 2000-02-15 2001-11-02 Canon Inc Organic matter removing method, method of manufacturing semiconductor device and organic matter remover and system
US20020185226A1 (en) * 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
US7288484B1 (en) * 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
JP5011852B2 (en) * 2005-07-20 2012-08-29 富士通セミコンダクター株式会社 Manufacturing method of electronic device
US8034176B2 (en) * 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1402316A (en) * 2001-08-16 2003-03-12 联华电子股份有限公司 Method for removing photoresistance layer in ion implantation mfg. process
CN1720349A (en) * 2002-12-02 2006-01-11 希姆科技有限公司 Apparatus for treating surfaces of a substrate with atmospheric pressure plasma
CN1868043A (en) * 2003-08-11 2006-11-22 艾克塞利斯技术公司 Plasma ashing process
CN101015042A (en) * 2004-09-07 2007-08-08 兰姆研究公司 Methods of removing photoresist on substrates
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
CN101131928A (en) * 2006-08-21 2008-02-27 兰姆研究有限公司 Trilayer resist organic layer etch

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102955381A (en) * 2011-08-26 2013-03-06 诺发***公司 Photoresist strip processes for improved device integrity
CN102955381B (en) * 2011-08-26 2019-07-09 诺发***公司 The photoresist lift off method integrated for improved device
CN103676501A (en) * 2012-09-11 2014-03-26 Psk有限公司 Method for treating substrate
CN111492458A (en) * 2017-12-15 2020-08-04 恩特格里斯公司 Method and assembly for plasma immersion gun (PFG) operation using fluorine-containing and inert gases
CN111492458B (en) * 2017-12-15 2024-04-12 恩特格里斯公司 Methods and assemblies for plasma immersion gun (PFG) operation using fluorine-containing and inert gases
US11121229B2 (en) 2017-12-28 2021-09-14 Vanguard International Semiconductor Corporation Methods of fabricating semiconductor structures and high electron mobility transistors
US11682713B2 (en) 2017-12-28 2023-06-20 Vanguard International Semiconductor Corporation Methods of fabricating semiconductor structures with two-step etching
CN108862200A (en) * 2018-07-31 2018-11-23 苏州香榭轩表面工程技术咨询有限公司 A kind of preparation method of ultra-pure hydrofluoric acid

Also Published As

Publication number Publication date
TW201015248A (en) 2010-04-16
TWI497235B (en) 2015-08-21
JP2010098279A (en) 2010-04-30
CN101727024B (en) 2014-03-19
KR20100041644A (en) 2010-04-22
KR101791685B1 (en) 2017-11-20
JP5586077B2 (en) 2014-09-10

Similar Documents

Publication Publication Date Title
US8641862B2 (en) High dose implantation strip (HDIS) in H2 base chemistry
CN101727024B (en) High dose implantation strip (hdis) in h2 base chemistry
CN102955381B (en) The photoresist lift off method integrated for improved device
KR101226411B1 (en) Ultra low silicon loss high dose implant strip
KR101770008B1 (en) Enhanced passivation process to protect silicon prior to high dose implant strip
KR101956837B1 (en) Selective etch of silicon by way of metastable hydrogen termination
JP6033496B2 (en) Novel mask removal method for vertical NAND devices
US20080153306A1 (en) Dry photoresist stripping process and apparatus
JP6579953B2 (en) Method for removing high aspect ratio photoresist in pure reducing plasma
KR20150141906A (en) Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
KR20130093038A (en) Method for etching organic hardmasks
CN100468652C (en) Process for removing a residue from a metal structure on a semiconductor substrate

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20140319

Termination date: 20191222

CF01 Termination of patent right due to non-payment of annual fee