CN101673667A - 基板处理装置和基板处理方法 - Google Patents

基板处理装置和基板处理方法 Download PDF

Info

Publication number
CN101673667A
CN101673667A CN200910173141A CN200910173141A CN101673667A CN 101673667 A CN101673667 A CN 101673667A CN 200910173141 A CN200910173141 A CN 200910173141A CN 200910173141 A CN200910173141 A CN 200910173141A CN 101673667 A CN101673667 A CN 101673667A
Authority
CN
China
Prior art keywords
substrate
handling part
placing platform
chamber
transfer unit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200910173141A
Other languages
English (en)
Other versions
CN101673667B (zh
Inventor
高桥哲
野内英博
坂田雅和
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Electric Co., Ltd.
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Publication of CN101673667A publication Critical patent/CN101673667A/zh
Application granted granted Critical
Publication of CN101673667B publication Critical patent/CN101673667B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Robotics (AREA)

Abstract

本发明提供一种基板处理装置,能够同时实现高吞吐量化和省占地面积化这样相反的条件。本发明的基板处理装置具有输送室和处理基板的处理室,所述输送室具有将基板从该输送室向所述处理室输送的第1基板输送部件,所述处理室具有:与所述输送室邻接的、具有第1基板载置台的第1处理部;与所述第1处理部中的所述输送室一侧不同侧邻接的、具有第2基板载置台的第2处理部;在所述第1处理部和所述第2处理部之间输送基板的第2基板输送部件;至少控制所述第2基板输送部件的控制部。

Description

基板处理装置和基板处理方法
技术领域
本发明涉及基板处理装置和基板处理方法。
背景技术
作为基板处理装置的一例,按照装载端口、装载锁定室、输送室和处理室的顺序输送晶片,并在处理室进行处理。
处理室是被闸阀阻挡的独立空间,在各室中能够单独地进行晶片的处理。
通常,在基板载置台上进行处理的结构中,在一个室中只进行一个晶片的处理。专利文献1公开了一种技术,将未处理的晶片交替地向处理室输送,已处理的晶片从各处理室返回到基板支承体时,更换成下一个要进行处理的未处理晶片。
专利文献1:日本特开2006-86180号公报
发明内容
以往的基板处理装置是存放晶片的装载锁定室为两个室、具有将晶片搬运到各室的机器人的输送室为一个室、处理晶片的处理室为两个室的结构。在本装置的结构中,要使单位时间的吞吐量超过200张是困难的。在以进一步提高吞吐量为目标的情况下,单纯地向输送室外周部增加处理室,能够实现吞吐量的提高。但是,相对地输送室内的输送机器人就变得大型化,而且由于增加处理室占地面积(footprint)变大是无法避免的。
本发明的目的是提供一种基板处理装置和基板处理方法,能够实现同时满足高吞吐量化和省占地面积化这样相反的条件。
根据本发明的一个方式,提供一种基板处理装置,具有输送室和处理基板的处理室,所述输送室具有将基板从该输送室向所述处理室输送的第1基板输送部件,所述处理室具有:与所述输送室邻接的、具有第1基板载置台的第1处理部;与所述第1处理部中的所述输送室一侧的不同侧邻接的、具有第2基板载置台的第2处理部;在所述第1处理部和所述第2处理部之间输送基板的第2基板输送部件;至少控制所述第2基板输送部件的控制部。处理室具有第1处理部和第2处理部,能够同时进行处理,由此与以往相比,处理张数增加,吞吐量提高。另外,作为四个反应室保持装置,与其他公司产品的结构相比能够实现省占地面积的配置。
另外,根据本发明的另一方式,提供一种基板处理方法,具有如下步骤:将至少2张基板向具有第1处理部、第2处理部及载置基板的基板载置台的处理室供给的步骤;将所述至少2张基板分别载置在所述第1处理部的第1基板输送机构及所述第2处理部的第2基板输送机构上的步骤;将基板载置在所述基板载置台时,相对地控制所述第1基板输送机构和所述第2基板输送机构、或所述基板载置台的步骤,以使所述第1基板输送机构和内置在所述基板载置台的加热器之间的距离、与所述第2基板输送机构和内置在所述基板载置台的加热器之间的距离相同。由此,第1处理部和第2处理部的各基板与加热器的距离相同,加热条件一致,基板温度以相同的比例上升。
根据本发明,能够实现同时满足高吞吐量化和省占地面积化这样相反的条件。
附图说明
图1是本发明的实施方式使用的基板处理装置的整体结构图,是从上方观察的示意图。
图2是本发明的实施方式使用的基板处理装置的整体结构图的纵剖视图。
图3是表示本发明的实施方式的基板处理装置的处理室的立体图。
图4是用于说明本发明的实施方式的基板处理装置的晶片止挡件和晶片的位置关系的图。
图5是从上方观察本发明的实施方式的基板处理时的第2基板输送部件周边的图。
图6是从上方观察本发明的实施方式的处理室内的图,表示晶片搬运的流程。
图7是从上方观察本发明的实施方式的处理室内的图,表示图6的晶片搬运的流程的延续。
图8是比较例的基板处理装置的整体结构图,是从上方观察的示意图。
图9是从上方观察比较例的基板处理装置的处理室内的图,表示晶片搬运的流程。
图10表示本发明的实施方式的基板处理装置10的配置例。
图11表示本发明的第2实施方式的基板处理装置。
图12表示本发明的第3实施方式的基板处理装置。
附图标记的说明
1 晶片
10 基板处理装置
12 输送室
14 装载锁定室
16 处理室
30 第1基板输送部件
35 闸阀
36 第1处理部
38 第2处理部
39 基板保持销
40 第2基板输送部件
64 加热器
70 晶片止挡件
具体实施方式
以下,根据附图说明本发明的实施方式。
图1是本发明的实施方式的基板处理装置10的整体结构图,从装置10的上方观察的示意图。
基板处理装置10中,例如以输送室12为中心,配置有两个装载锁定室14a、14b及两个处理室16a、16b,在装载锁定室14a、14b的上游侧配置有前端模块即EFEM(Equipment Front End Module)18。
在EFEM18中,成为能够搭载三台存放晶片1的环箍(25张)的构造。
在EFEM18内,载置有在大气中能够同时搬运多张(5张)晶片的大气机器人(未图示),能够在两个装载锁定室14a、14b之间进行晶片搬运。另外,本装置具有用于控制各构成部件的控制器84。
如图2所示,在装载锁定室14a、14b中,设置有在纵向上隔开一定间隔收容例如25张基板的基板支承体(舟皿)20。基板支承体20由例如碳化硅或铝构成,并具有连接上部板22和下部板24的例如三个支柱26。在支柱26的长度方向内侧,平行地形成有例如25个载置部28。另外,基板支承体20是在装载锁定室14a、14b内沿垂直方向移动(沿上下方向移动),并且以沿垂直方向延伸的旋转轴为轴进行旋转。
在输送室12中,设置有在装载锁定室14a、14b和处理室16a、16b之间输送晶片1的第1基板输送部件30。第1基板输送部件30具有臂34,该臂34设置有由上机械手(finger)32a及下机械手32b构成的机械手对32。上机械手32a及下机械手32b具有例如相同的形状,并在上下方向上分开规定间隔,并从臂34开始分别沿大致水平的方向延伸,能够分别支承晶片1。臂34以沿垂直方向延伸的旋转轴为轴进行旋转,并且沿水平方向移动。输送室12和处理室16a、以及输送室12和处理室16b如图3所示地分别通过闸阀35连通。在处理室16a和处理室16b之间具有分界壁48,通过该分界壁48使各处理室的环境气体成为独立的状态。
因此,存放在装载锁定室14a、14b中的未处理的晶片由配置在输送室12的第1基板输送部件30同时地每次2张地经由闸阀35向处理室16a、16b搬运。已处理的晶片由第1基板输送部件30从处理室16a、16b一次2张地向装载锁定室14a、14b搬运(第1基板输送机构)。
在图3中,表示了处理室16的概要。
在处理室16中,配置有两台基板载置台,将输送室12侧的第1处理部36的基板载置台作为第1基板载置台37,将另一个第2处理部38的基板载置台作为第2基板载置台41。
第1处理部36和第2处理部38是相互独立的构造,从装置整体来看,成为与晶片处理流动方向同向的一列。
即,第2处理部38从输送室12隔着第1处理部36向远处配置。
在第1处理部36和第2处理部38中,通过相同的工艺进行基板处理。
第1处理部36和第2处理部38连通,处理室16内能够升温到300℃。
在第1基板载置台37及第2基板载置台41上,内插有加热器64进行加热。另外,第1基板载置台37和第2基板载置台41由例如铝(A5052、A5056等)形成。
为了实现省空间、低成本化的目的,也可以由例如铝(A5052)一个部件形成装载锁定室14a、14b、输送室12及处理室16a、16b。
在处理室16内的第1处理部36和第2处理部38之间的内侧即靠分界壁48一侧,设置有第2基板输送部件40。
第2基板输送部件40是以轴部43e为中心进行旋转,轴部43e配置在分界壁48侧。
另一个处理室中的第2基板输送部件40配置成隔着分界壁48与一个处理室的第2基板输送部件40相对。通过相对地配置,能够将用于控制各第2基板输送部件40的布线集中配设在处理室16的下部,即水平方向上的装置中央处,即分界壁48近边。其结果,在布线空间中,能够按照部件集中设置布线,从而使布线空间高效化。另外,由于以配置在分界壁48附近的轴部43e为中心进行旋转,所以能够使处理室16的外侧为圆形。通过作成圆形,能够使装置主体11的轮廓11a为倾斜状,其结果,能够更大地确保供维修人员进入的维护空间17。假设,在将轴部43e配置在处理室16的外侧的情况下,不能使轮廓11a为倾斜状,则不能更大地确保供维修人员进入的维护空间17。
第2基板输送部件40将由第1基板输送部件30输送的2张未处理晶片中的1张搬运到第2处理部38的第2基板载置台41,再将第2基板载置台41的已处理的晶片向第1基板输送部件30的机械手上搬运(第2基板输送机构)。
图4是第1基板输送部件30的周边的侧视图。
作为基板固定部件的晶片止挡件70,靠近第1基板输送部件30的上机械手32a和下机械手32b的臂34,以从下机械手32b向垂直方向上方延伸的状态设置。例如可以作为使基板在垂直方向整齐排列的整列板使用。
晶片止挡件70沿着第1基板输送部件30的外形形成。另外,晶片止挡件70的上端面如图4所示地配置在比第1基板输送部件30的上机械手32a上的晶片1的上方更靠上方。由此,即使第2基板输送部件40过旋转的情况下,晶片1也能被晶片止挡件70挡住,能够防止晶片1跃上晶片止挡件70。另外,晶片止挡件70配置在不与第2基板输送部件40发生干涉的位置。通过设置晶片止挡件70,防止晶片1从第1基板输送部件30偏移而被载置在第1基板载置台37上。另外,通过将晶片止挡件70配置在不与第2基板输送部件40发生干涉的位置,能够使第1基板输送部件30及第2基板输送部件40同时地位于第1处理部36的第1基板载置台37上。由此,能够提高吞吐量。
图5表示处理部16内的第2基板输送部件40在第2处理部38侧待机时(基板处理时)的情况。
第2基板输送部件40具有:外径比晶片的外径大的圆弧部43a;从圆弧部43a被切口的切口部43b;从圆弧部43a朝向圆弧部的中心大致水平地设置的载置晶片的爪部43c;设置有支承圆弧部43a的框架部43d的臂47。
圆弧部43a与框架部43d连续地形成,从臂47被大致水平安装,能够通过爪部43c支承晶片1。
臂47是以沿垂直方向延伸的轴部43e为旋转轴进行旋转,并且沿垂直方向升降。
切口部43b配置在当轴部43e旋转且处在第1处理部36一侧时与输送室12和处理室16之间设置的闸阀35相对的位置。
因此,第2基板输送部件40的旋转轴即轴部43e旋转、升降。通过进行这样的动作,将被第1基板输送部件30输送到处理室16内的2张晶片中的1张晶片,从第1处理部36上方向位于输送室12的远处的第2处理部38输送并载置。
由于第2基板输送部件40通过来自第1基板载置台37及第2基板载置台41的热辐射成为高温(250℃左右),所以优选由耐等离子性、耐高热性的例如氧化铝陶瓷(纯度99.6%以上)、石英、SiC(碳化硅)、AlN(氮化铝)等形成。通过由热膨胀系数比金属部件小的例如氧化铝陶瓷(纯度99.6%以上)形成,能够防止因热变形产生的挠曲等导致输送可靠性劣化。但是,在第2基板输送部件40的基部,为了进行位置水平调整,使用金属部件。
第1基板载置台37及第2基板载置台41是在处理室16内通过固定部件(未图示)固定在装置主体11上。另外,在第1基板载置台37的外周,基板保持部即3个第1基板保持销39a沿垂直方向贯通,基板保持销在上下方向上升降,由此使基板大致水平地升降。另外,在第2基板载置台41的外周,基板保持部即3个第2基板保持销39b沿垂直方向贯通,基板保持销在上下方向上升降,由此使基板大致水平地升降。因此,通过闸阀35由第1基板输送部件30输送的晶片通过基板保持销39a、39b被载置在基板载置台上。也就是说,通过控制器84的控制,马达旋转及反转,第1基板保持销39a、第2基板保持销39b在上下方向上移动。
图6及图7表示处理室16内的晶片搬运的流程的概要。
在图6(a)~(d)及图7(e)~(h)中,上方的图是处理室16的俯视图。下方的图是表示上方的图的截面的图,是说明用附图。
在下方的图中,基板保持销39a中的一个在第1处理部36内设置在闸阀35附近的位置。它是为方便说明而表示的。实际上,如上方的图所示,在第1处理部36内,在闸阀35附近的位置即第1基板输送部件30如图5(c)的上方的图所示地待机的位置,没有设置基板保持销39a。
首先,处理室16内被真空化为与输送室12同压。此外,在以下的说明中,构成基板处理装置10的各部件的动作被控制器84控制。
(步骤1,图6(a))
闸阀35打开,第1基板载置台37的第1基板保持销39a和第2基板载置台41的第2基板保持销39b上升。第2基板输送部件40在第2处理部38侧待机,并与第1基板保持销39a、第2基板保持销39b一起上升。
(步骤2,图6(b))
第2基板输送部件40通过轴部43e旋转大致水平地向第1处理部36侧移动。此时,第2基板输送部件40的切口部43b与闸阀35相对。
(步骤3,图6(c))
第1基板输送部件30同时输送被载置在上机械手32a和下机械手32b上的2张晶片,并从输送室12通过闸阀35向处理室16移动,并在第1处理部36上方停止。此时,第2基板输送部件40在机械手对32的上机械手32a和下机械手32b之间的高度位置待机。这里,晶片止挡件70设定得比旋转时的晶片高度高,由此能够抑制晶片过度旋转。另外,晶片止挡件70靠近上机械手32a和下机械手32b的臂地设置,由此能够防止与旋转时的晶片1干涉。
(步骤4,图6(d))
在第1基板输送部件30一直不动作的状态下,第1基板载置台37的第1基板保持销39a上升,将载置在下机械手32b上的晶片载置到第1基板保持销39a上。而且,通过使第2基板输送部件40上升,将载置在上机械手32a的晶片载置到第2基板输送部件40的爪部43c上。
(步骤5,图7(e))
第1基板输送部件30返回输送室12内。这里,通过设置晶片止挡件70,在第1基板输送部件30的收缩动作时,也能够防止与晶片1的干涉。
(步骤6,图7(f))
第2基板输送部件40是在载置了晶片1的状态下,通过使轴部43e旋转而大致水平向第2处理部38侧移动。
闸阀35关闭。
(步骤7,图7(g))
轴部43e下降,第2基板输送部件40向第2基板载置台41的外周下方移动。
由于第2基板输送部件40在晶片处理过程中在处理室16内待机,所以阻碍从第2处理部38上方供给的处理气体(例如O2自由基等)的气流,会使晶片面内的均匀性恶化。因此,要将第2基板输送部件40移动到不阻碍第2基板载置台41的外周的气流的高度。
(步骤8,图7(h))
在第1基板载置台37的第1基板保持销39a及第2基板载置台41的第2基板保持销39b大致水平地保持晶片1的状态下,大致同时地下降,并将晶片1载置到第1基板载置台37及第2基板载置台41。即,使晶片下降,以便使各晶片和与这些晶片对应的基板载置台的距离相等。
第1处理部36及第2处理部38分别对晶片产生相同的热影响。通过实施相同的热影响,例如能够使各晶片的灰化率(ashing rate)均匀。基板处理是CVD(Chemical Vapor Deposition:化学气相沉积)的情况下,能够使各膜成为大致相同的厚度。
此外,不必进行完全相同的热影响,只要灰化率和膜厚均匀,也可以存在误差。各基板所载置的时间误差为例如2秒左右。
大致同时使第1基板保持销39a和第2基板保持销39b下降,代替进行相同的热影响,也可以个别地控制加热器64。
另外,在本装置中,使基板保持销39下降,但也可以使第1基板载置台37及第2基板载置台41上下地构成。
之后,将气体供给到处理室16内,实施等离子生成(灰化处理),在基板处理后,执行相反的程序,将基板送出。
图8是比较例的基板处理装置50的整体结构图,表示从装置50上方观察的示意图。
比较例的基板处理装置50是存放晶片的装载锁定室52为两个室、具有将晶片搬运到各室的机器人的输送室54为一个室、处理晶片的处理室56为两个室的结构,在处理室一个室中只进行一个晶片的处理。
图9表示比较例的基板处理装置50的处理室56内的晶片搬运的流程的概要。
此外,在以下的说明中,比较例的构成基板处理装置50的各部件的动作被控制器86控制。
首先,处理室56内被真空化为与输送室54同压。
(步骤1)
闸阀62打开。
(步骤2)
第3基板输送部件60输送晶片1,并从输送室54通过闸阀62向处理室56内移动,在基板载置台66上方停止。这里,第3基板输送部件60每次能输送1张晶片。
(步骤3)
在第3基板输送部件60一直不动作的状态下,基板保持销68上升,晶片1被载置在基板保持销68上。
(步骤4)
第3基板输送部件60返回输送室54内。
(步骤5)
基板保持销68是在大致水平地保持晶片1的状态下下降,并载置在基板载置台66上,晶片载置完成。
闸阀62关闭。
之后,向处理室56内供给气体,实施等离子生成(灰化处理),在基板处理后,执行相反的程序,送出基板。
根据上述本发明,作为四个反应室保持装置,与比较例的基板处理装置50相比,能够进行省占地面积的配置。另外,如图10所示,根据本发明的实施方式的基板处理装置10,没有使晶片1横向移动的处理室16,由于在进深方向上具有多个处理室16,所以能够使装置10的横宽变小,从而能够配置多个装置10。另外,根据本发明,除了从输送室输送晶片的第1基板输送部件30以外,还具有第2基板输送部件40,从而第1基板输送部件30和第2基板输送部件40能够同时执行各自的动作,对高吞吐量有贡献。另外,由于第2基板输送部件40被配置在处理室16内,所以在处理室16内部维持减压、高温的状态下,能够进行晶片的输送,在第2基板输送部件40由例如氧化铝陶瓷制成的情况下,能够在处理室16内残留第2基板输送部件40的状态下进行处理。而且,由于能够挪用已有的基板处理装置的结构,所以能够减少变更点。
因此,根据本发明,能够在省占地面积布置的状态下倍增吞吐量。
图11表示本发明的第2实施方式。
在第2实施方式的基板处理装置中,将上述第1基板载置台37和第2基板载置台41作为一个基板载置台65。在处理室16的中央,形成分隔壁68,而构成第1处理部36和第2处理部38。在第1处理部36和第2处理部38的上方,分别从气体供给管69供给处理气体,并从气体排气管71排气。在基板载置台65上内插有加热器64。在基板载置台65的中心,设置有使基板载置台65升降的升降机构67。这里,通过使基板载置台65上升,使第1处理部36的晶片1和加热器64的距离、与第2处理部38的晶片1和加热器64的距离相同。
根据本发明的第2实施方式,通过将升降机构67设置在装置主体的中心,能够以简单的结构平衡性好地使基板载置台65升降,由此与各晶片1的距离难以发生波动。即,使第1处理部36及第2处理部38对晶片的热影响相同,能够使灰化率均匀。
假设,在使两个基板载置台上升的情况下,需要在每个基板载置台上设置基板载置台的升降机构,会导致成本提高。另外,需要对各基板载置台进行加热器64和晶片1间的距离调整,则维护作业增加,维护成本增加。另外,在将一个加热器嵌入一个基板载置台中的情况下,由于加热器的加热控制部只有一个,所以不会增加成本,或者控制变得简单。
图12是对本发明的第3实施方式进行说明的图。
在第3实施方式的基板处理装置中,具有两个基板载置台65,在两个基板载置台65上分别内插有加热器64。从处理室16的中央,处理室16完全被分隔壁68分隔,从而构成第1处理部36和第2处理部38。在第1处理部36和第2处理部38的上方,分别从气体供给管69供给处理气体,并从气体排气管71排气。
在第3实施方式中,与本发明的实施方式同样,分别使两个基板载置台65的基板保持销39同时下降,以便使第1处理部36的晶片1和加热器64的距离、与第2处理部38的晶片1和加热器64的距离相同,即,加热器对各基板的热影响水平相同。
根据本发明的第3实施方式,由于处理室16被分隔,所以等离子均匀地打在晶片1上。
由此,由第1处理部36和第2处理部38,以相同温度且相同条件打出等离子,从而能够对基板均匀地进行等离子处理。
另外,本发明不限于用等离子处理基板的等离子处理装置,还可以有效地应用于如下的基板处理装置:涉及半导体制造技术,尤其涉及将被处理基板收容在处理室在由加热器加热的状态下实施处理的热处理技术,例如,在被制作了半导体集成电路装置(半导体设备)的半导体晶片上进行氧化处理、扩散处理、用于离子注入后的载体活性化和平坦化的回流和退火、通过热CVD反应的成膜处理等中进行使用。
根据本发明的一个方式,提供一种基板处理装置,具有输送室和处理基板的处理室,所述输送室具有将基板从该输送室向所述处理室输送的第1基板输送部件,所述处理室具有:与所述输送室邻接且具有第1基板载置台的第1处理部;与所述第1处理部中的所述输送室一侧不同侧邻接且具有第2基板载置台的第2处理部;在所述第1处理部和所述第2处理部之间输送基板的第2基板输送部件;至少控制所述第2基板输送部件的控制部。由此,提高吞吐量。
优选地,所述处理室至少设置两个,并分别设置在所述输送室的一面。由此,能够同时实现吞吐量的提高和拥有成本(Cost ofOwnership)的降低。
优选地,所述处理室至少设置两个,并且各处理室的长度方向从所述输送室观察为同方向。由此,能够同时实现吞吐量的提高和拥有成本(Cost of Ownership)的降低。
优选地,所述第1处理部和所述第2处理部中,各处理室连通,所述第2基板输送部件具有轴部、载置基板的的圆弧部、从所述圆弧部切口的切口部,所述轴部沿垂直方向升降并旋转地构成,所述切口部与所述输送室和所述处理室之间形成的闸阀相对地构成。由此,在连通的处理室中,能够从一个处理部向另一个处理部输送并载置基板。另外,在基板处理时,通过使第2基板输送部件下降,而不会对气体排气产生阻碍。
优选地,所述第1处理部具有使基板水平地升降的基板保持部。由此,对基板的热影响相同。
优选地,所述控制部进行如下控制:通过所述基板保持部使基板从在所述第1处理部配设的具有第1加热器的第1基板载置台上方以所希望的距离暂时待机,并通过所述第2基板输送部件使基板从在所述第2处理部配设的具有第2加热器的第2基板载置台上方以所述所希望的距离待机。由此,来自加热器的加热情况变得相同,对基板的热影响相同。
优选地,所述控制部在使基板分别在所述第1基板载置台和所述第2基板载置台上待机之后,通过所述基板保持部使所述第1基板载置台和该第1基板载置台上的基板下降,并通过所述第2基板输送部件使所述第2基板载置台和该第2基板载置台上的基板下降,根据各自的下降,使所述第1基板载置台和该第1基板载置台上的基板之间的距离、与所述第2基板载置台和该第2基板载置台上的基板之间的距离相同。由此,各基板和加热器之间的距离相同,加热条件相同,对基板的热影响相同。
优选地,所述第1基板输送部件和所述第2基板输送部件构成为在配设在所述第1处理部上的、具有第1加热器的第1基板载置台上方待机,所述第1基板输送部件在不与所述第2基板输送部件接触的位置具有基板固定部件。由此,能够同时使第1基板输送部件和第2基板输送部件在一个处理部上待机,搬运基板,从而能够以高吞吐量输送基板。
优选地,所述基板固定部件的上端配置在比载置在所述第2基板输送部件上的基板更靠上方。由此,在载置时,能够防止基板从基板载置台飞出,从而能够防止基板的破损。
另外,根据本发明的另一方式,提供一种基板处理装置,具有:具有分别处理基板的第1处理部及第2处理部的处理室;包含在所述处理室的、具有对基板加热的加热器的至少一个基板载置台;设置在所述第1处理部的第1基板输送机构;设置在所述第2处理部的第2基板输送机构;以及控制部,将基板载置在所述基板载置台时,相对地控制所述第1基板输送机构和所述第2基板输送机构、或所述基板载置台,以使所述第1基板输送机构和所述加热器之间的距离、与所述第2基板输送机构和所述加热器之间的距离相同。由此,各基板和加热器的距离相同,加热条件相同,对基板的热影响相同。
另外,根据本发明的又一方式,提供一种基板处理装置,具有如下步骤:将至少2张基板载置向具有第1处理部、第2处理部及载置基板的基板载置台的处理室供给的步骤;将所述至少2张基板分别载置在所述第1处理部的第1基板输送机构及所述第2处理部的第2基板输送机构上的步骤;将基板载置在所述基板载置台时,相对地控制所述第1基板输送机构和所述第2基板输送机构、或所述基板载置台的步骤,以使所述第1基板输送机构和内置在所述基板载置台的加热器之间的距离、与所述第2基板输送机构和内置在所述基板载置台的加热器之间的距离相同。由此,各基板和加热器的距离相同,加热条件相同,对基板的热影响相同。

Claims (5)

1.一种基板处理装置,其特征在于,
具有:输送室;和处理基板的处理室,
所述输送室具有将基板从该输送室向所述处理室输送的第1基板输送部件,
所述处理室具有:与所述输送室邻接的、具有第1基板载置台的第1处理部;与所述第1处理部中的所述输送室一侧不同侧邻接的、具有第2基板载置台的第2处理部;在所述第1处理部和所述第2处理部之间输送基板的第2基板输送部件;至少控制所述第2基板输送部件的控制部。
2.如权利要求1所述的基板处理装置,其特征在于,
所述处理室至少设置两个,并分别设置在所述输送室的一面。
3.如权利要求1或2所述的基板处理装置,其特征在于,
所述第1处理部和所述第2处理部中,各处理室连通,
所述第2基板输送部件具有轴部、载置基板的圆弧部、从所述圆弧部切口的切口部,
所述轴部构成为沿垂直方向升降并旋转,
所述切口部构成为与所述输送室和所述处理室之间形成的闸阀相对。
4.如权利要求1至3的任意一项所述的基板处理装置,其特征在于,
所述第1处理部具有使基板水平升降的基板保持部。
5.一种基板处理方法,其特征在于,具有如下步骤:
将至少2张基板向具有第1处理部、第2处理部及载置基板的基板载置台的处理室供给的步骤;
将所述至少2张基板分别载置在所述第1处理部的第1基板输送机构及所述第2处理部的第2基板输送机构上的步骤;
将基板载置在所述基板载置台时,相对地控制所述第1基板输送机构和所述第2基板输送机构、或所述基板载置台的步骤,以使所述第1基板输送机构和内置在所述基板载置台的加热器之间的距离、与所述第2基板输送机构和内置在所述基板载置台的加热器之间的距离相同。
CN2009101731412A 2008-09-12 2009-09-11 基板处理装置和基板处理方法 Active CN101673667B (zh)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
JP2008-234597 2008-09-12
JP2008234597 2008-09-12
JP2008234597 2008-09-12
JP2009180426 2009-08-03
JP2009-180426 2009-08-03
JP2009180426 2009-08-03
JP2009206664 2009-09-08
JP2009-206664 2009-09-08
JP2009206664A JP5511273B2 (ja) 2008-09-12 2009-09-08 基板処理装置及び基板処理方法

Publications (2)

Publication Number Publication Date
CN101673667A true CN101673667A (zh) 2010-03-17
CN101673667B CN101673667B (zh) 2011-11-02

Family

ID=42007475

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009101731412A Active CN101673667B (zh) 2008-09-12 2009-09-11 基板处理装置和基板处理方法

Country Status (5)

Country Link
US (1) US9378991B2 (zh)
JP (1) JP5511273B2 (zh)
KR (1) KR101173125B1 (zh)
CN (1) CN101673667B (zh)
TW (1) TWI485798B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107078084A (zh) * 2014-09-30 2017-08-18 株式会社钟化 试样保持装置、太阳能电池的制造方法及太阳能电池模块的制造方法
CN111354657A (zh) * 2018-12-24 2020-06-30 沈阳拓荆科技有限公司 半导体多站处理腔体

Families Citing this family (259)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011137371A2 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Vertical inline cvd system
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9048271B2 (en) 2011-09-29 2015-06-02 Asm International N.V. Modular semiconductor processing system
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014150109A (ja) * 2013-01-31 2014-08-21 Disco Abrasive Syst Ltd 減圧処理装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
GB2562503A (en) * 2017-05-16 2018-11-21 Asm Assembly Systems Singapore Pte Ltd Workpiece transfer and printing
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP7137408B2 (ja) * 2017-09-29 2022-09-14 芝浦メカトロニクス株式会社 基板処理装置及び基板処理方法
KR102116344B1 (ko) * 2017-09-29 2020-05-28 시바우라 메카트로닉스 가부시끼가이샤 기판 처리 장치 및 기판 처리 방법
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3249765B2 (ja) * 1997-05-07 2002-01-21 東京エレクトロン株式会社 基板処理装置
JPH04348051A (ja) * 1991-01-30 1992-12-03 Toshiba Corp ウェハ加熱処理装置
JP2586680Y2 (ja) * 1992-06-04 1998-12-09 東京応化工業株式会社 ホットプレート用リフトピン
JP3947761B2 (ja) * 1996-09-26 2007-07-25 株式会社日立国際電気 基板処理装置、基板搬送機および基板処理方法
JPH11111820A (ja) 1997-10-03 1999-04-23 Kokusai Electric Co Ltd 基板処理装置
JP3664897B2 (ja) 1998-11-18 2005-06-29 東京エレクトロン株式会社 縦型熱処理装置
JP3857656B2 (ja) * 2000-09-13 2006-12-13 東京エレクトロン株式会社 基板処理装置
US20020096114A1 (en) * 2001-01-22 2002-07-25 Applied Materials, Inc. Series chamber for substrate processing
US6776849B2 (en) * 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US7682454B2 (en) * 2003-08-07 2010-03-23 Sundew Technologies, Llc Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
US8668422B2 (en) * 2004-08-17 2014-03-11 Mattson Technology, Inc. Low cost high throughput processing platform
US20060137609A1 (en) * 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
JP4086826B2 (ja) * 2004-09-14 2008-05-14 株式会社日立国際電気 基板の処理方法
US20060156979A1 (en) * 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
JP4535499B2 (ja) * 2005-04-19 2010-09-01 東京エレクトロン株式会社 加熱装置、塗布、現像装置及び加熱方法
KR100781083B1 (ko) 2006-03-31 2007-11-30 주식회사 뉴파워 프라즈마 기판 반송 장치 및 그것을 사용한 기판 처리 설비
TWI476855B (zh) * 2006-05-03 2015-03-11 Gen Co Ltd 基板傳輸設備、和使用該設備的高速基板處理系統
US8060252B2 (en) * 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107078084A (zh) * 2014-09-30 2017-08-18 株式会社钟化 试样保持装置、太阳能电池的制造方法及太阳能电池模块的制造方法
CN107078084B (zh) * 2014-09-30 2020-07-28 株式会社钟化 试样保持装置、太阳能电池的制造方法及太阳能电池模块的制造方法
CN111354657A (zh) * 2018-12-24 2020-06-30 沈阳拓荆科技有限公司 半导体多站处理腔体
CN111354657B (zh) * 2018-12-24 2023-09-26 拓荆科技股份有限公司 半导体多站处理腔体

Also Published As

Publication number Publication date
US20100068414A1 (en) 2010-03-18
TWI485798B (zh) 2015-05-21
JP2011054916A (ja) 2011-03-17
KR101173125B1 (ko) 2012-08-14
CN101673667B (zh) 2011-11-02
TW201023293A (en) 2010-06-16
JP5511273B2 (ja) 2014-06-04
US9378991B2 (en) 2016-06-28
KR20100031483A (ko) 2010-03-22

Similar Documents

Publication Publication Date Title
CN101673667B (zh) 基板处理装置和基板处理方法
CN108933097B (zh) 真空输送组件和基片处理装置
US8246284B2 (en) Stacked load-lock apparatus and method for high throughput solar cell manufacturing
CN101461051B (zh) 基板传输设备及使用该设备的高速基板处理***
US20190013216A1 (en) Semiconductor device manufacturing platform with single and twinned processing chambers
CN101677059B (zh) 基板处理装置及基板处理方法
KR102173658B1 (ko) 기판처리시스템
KR101336420B1 (ko) 진공 처리 장치
JP2008263063A (ja) 加熱装置および基板処理装置
JP6282983B2 (ja) 基板処理装置
JP2010141000A (ja) 基板処理装置
JPWO2012053430A1 (ja) 蒸着装置及び蒸着方法
JP2011023505A (ja) 基板処理装置
US11127616B2 (en) Substrate accommodation device
JP6795675B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
JPH05326666A (ja) 搬送装置
CN101355018B (zh) 气密模块以及该气密模块的排气方法
KR20230130775A (ko) 처리 장치, 배기 시스템 및 반도체 장치의 제조 방법
KR101831312B1 (ko) 기판처리시스템 및 기판처리방법
JP4455291B2 (ja) 処理システム
JP6241777B2 (ja) 基板処理装置および基板処理方法
KR101720272B1 (ko) 배치 타입 인라인 열처리 장치
TW202401699A (zh) 基板處理裝置及具有該基板處理裝置的基板處理系統
JP2009044039A (ja) 基板処理装置
JP2012219280A (ja) トレイの支持構造、プラズマcvd装置および太陽電池製造用真空処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20181128

Address after: Tokyo, Japan, Japan

Patentee after: International Electric Co., Ltd.

Address before: Tokyo, Japan, Japan

Patentee before: Hitachi Kunisai Electric Corp.

TR01 Transfer of patent right