CN101540277B - 等离子体处理装置 - Google Patents

等离子体处理装置 Download PDF

Info

Publication number
CN101540277B
CN101540277B CN2009101294590A CN200910129459A CN101540277B CN 101540277 B CN101540277 B CN 101540277B CN 2009101294590 A CN2009101294590 A CN 2009101294590A CN 200910129459 A CN200910129459 A CN 200910129459A CN 101540277 B CN101540277 B CN 101540277B
Authority
CN
China
Prior art keywords
high frequency
lower electrode
plasma
electrode
processing apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2009101294590A
Other languages
English (en)
Other versions
CN101540277A (zh
Inventor
松土龙夫
桧森慎司
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101540277A publication Critical patent/CN101540277A/zh
Application granted granted Critical
Publication of CN101540277B publication Critical patent/CN101540277B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供等离子体处理装置,其能够在广RF频率区域中且在广RF功率区域中提高等离子体处理的面内均匀性。在腔室(10)内,载置半导体晶片(W)的基座(下部电极)(12)和上部电极(38)平行相向地配置。在基座(12)上,从第一高频电源(32)施加等离子体生成用的第一高频。基座(12)的侧面和上表面周边部(边缘部)夹着电介质(16)被从腔室(10)的底壁向垂直上方延伸的RF接地部件(18)覆盖。在安装在排气通路(20)的上部的排气环(22)之上设置有翼部件(25)。

Description

等离子体处理装置
技术领域
本发明涉及对被处理基板实施等离子体处理的技术,特别涉及电容耦合型的等离子体处理装置。
背景技术
在半导体器件、FPD(Flat Panel Display:平板显示器)的制造工艺中的蚀刻、堆积、氧化、溅射等处理中,为了能够以较低的温度使处理气体进行良好的反应,所以常利用等离子体。一直以来,在单片式的等离子体处理装置中,能够容易地实现大口径等离子体的电容耦合型的等离子体处理装置成为主流。
一般地,电容耦合型的等离子体处理装置在构成为真空腔室的处理容器内平行地配置上部电极和下部电极,在下部电极之上载置被处理基板(半导体晶片,玻璃基板等),在两电极间施加高频。这样,在两电极间通过高频电场被加速的电子、从电极放出的电子、或被加热的电子与处理气体的分子产生电离碰撞,产生处理气体的等离子体,利用等离子体中的自由基、离子对基板表面进行期望的精细加工,例如实施蚀刻加工。
此处,被施加高频的一侧的电极通过匹配器内的极间耦合电容器与高频电源连接,因此,作为阴极(cathode)工作。在载置基板的下部电极上施加高频并以其作为阴极的阴极耦合方式,通过利用在下部电极产生的自偏电压将等离子体中的离子大致垂直地引入到基板,能够进行方向性优越的各向异性蚀刻。
但是,近年来,半导体器件等的制造工艺中的设计规则越发微细化,特别是在等离子体蚀刻中,要求更高的尺寸精度,蚀刻中相对于掩模、基底的选择比、面内均匀性的要求更高。因此,指向腔室内的处理区域的低压力化、低离子能量化,于是,开始使用40MHz以上的相比于现有技术频率高出很多的高频。
在此出现的问题是,在腔室的处理空间内(特别是半径方向)难以使等离子体密度均匀。即,如果等离子体生成用高频的频率变高,由于在腔室内驻波所形成的波长效果和在电极表面上高频集中在中心部的表皮效应等,等离子体的密度不均,大致为在基板上中心部极大边缘部最小的山形的分布图。如果在基板上等离子体密度不均匀,则等离子体处理也不均,器件的制造成品率下降。
对于该问题,一直以来对电极结构尝试了各种研究。例如,在专利文献1中公开的等离子体处理装置,在与处理空间相对的电极的主面上埋入电介质,使相对于从电极主面发射至处理空间的高频的阻抗在电极中心部相对较大,在电极边缘部相对较小,从而提高等离子体密度分布的均匀性。
上述的在电极的主面上埋入电介质的方法,对于使被处理基板上的等离子体密度分布在基板中心部最高、随着朝向基板边缘部逐渐变低的山形的分布图平坦(均匀)化是有效的。但是,如果使用的RF频率逐渐变高,与此成比例地等离子体密度分布的变动幅度(山形分布的高低差)也变大,难以进行平坦化。进一步,在阴极耦合方式的等离子体处理装置中,更加成为问题的是,如果RF频率超过大概80MHz,则在某一RF功率区域中,等离子体密度分布表示出基板上的中心部与边缘部高而中间部低的W形的分布图。使山形分布图平坦化的方法无法应对这样的W形的分布图。
专利文献1:日本特开2004-363552
发明内容
本发明鉴于上述现有技术的问题提出,因此,本发明的目的是提供能够在广RF频率区域且在广RF功率区域中改善等离子体处理的面内均匀性的等离子体处理装置。
为了达成上述目的,本发明的第一观点的等离子体处理装置包括:能够真空排气的处理容器;在上述处理容器内载置被处理基板的下部电极;在上述处理容器内与上述下部电极平行相对的上部电极;向上述上部电极与上述下部电极之间的处理空间供给期望的处理气体的处理气体供给部;将用于通过高频放电生成上述处理气体的等离子体的第一高频施加在上述下部电极上的第一高频供电部;和用于接受从上述下部电极的上表面的周边部向半径方向外侧放出的高频并将其导向接地线而覆盖上述下部电极的上表面周边部的导电性的高频接地部件。
在上述装置结构中,在来自第一高频供电部的第一高频在下部电极的表层传播并到达电极主面(上表面)时,即使其一部分从电极上表面的周边部向外放射,高频接地部件也能够接受该放射的高频并导向接地线,因此,不会影响处理气体的放电即等离子体生成。由此,处理空间内的等离子体生成区域被限定于被处理基板的正上方和其附近,能够使基板上的等离子体密度分布的分布图稳定。
本发明的第二观点的等离子体处理装置包括:能够真空排气的处理容器;在上述处理容器内载置被处理基板的下部电极;在上述处理容器内与上述下部电极平行相向的上部电极;向上述上部电极与上述下部电极之间的处理空间供给期望的处理气体的处理气体供给部;将用于通过高频放电生成上述处理气体的等离子体的第一高频施加在上述下部电极上的第一高频供电部;和覆盖上述下部电极的侧面和上表面的周边部分并接地的导电性的高频接地部件。
在上述装置结构中,在来自第一高频供电部的第一高频在下部电极的表层传递并到达电极主面(上表面)时,即使其一部分从电极侧面、上表面周边部向外放射,高频接地部件也能够接受该放射的高频并导向接地线,因此,不会影响处理气体的放电,即等离子体生成。由此,处理空间内的等离子体生成区域被限定于被处理基板的正上方和其附近,能够使基板上的等离子体密度分布的分布图稳定。另外,优选的是,高频接地部件覆盖下部电极的上表面中向基板的半径方向外侧伸出的部分的大致整个区域。
在本发明的优选的一个方式中,在下部电极与高频接地部件之间夹着电介质。此外,高频接地部件的表面被绝缘膜覆盖。
此外,作为优选的一个方式,用于在高频接地部件与处理容器的内壁之间将处理空间连接至设置在处理容器的底部的排气口的排气通路形成为环状,在该排气通路的上部设置有用于促进从处理空间扩散的等离子体的消灭的沿铅直方向延伸的接地的导电性的翼部件。利用该翼部件的等离子体消灭促进功能,能够使存在于排气通路的入口附近到其上方的等离子体变少,相对地使晶片正上方区域的等离子体密度变高,并且使等离子体密度分布的高低差变小。
优选的是,翼部件可以一体地形成或安装在环状设置在排气通路的上部的导电性的排气环上,其表面可以被绝缘膜覆盖。此外,翼部件可以在排气通路的周围方向上隔着一定间隔放射状地配置有多个。
此外,因为利用上述高频接地部件能够在广RF功率区域中使基板上的等离子体密度分布大致为山形的分布图,因此,为了进一步修正成平坦的分布图,作为优选的一个方式,在下部电极的上表面上,或者上部电极的下表面上,可以以在电极中心部最厚在电极周边部最薄的厚度分布设置有电介质。
根据本发明,即使将第一高频的频率设定为80MHz以上,也能够在广RF功率区域中提高等离子体密度或等离子体处理的面内均匀性。此外,也可以通过第二高频供电部向下部电极施加主要用于将等离子体中的离子引入下部电极上的基板的第二高频。
根据本发明的等离子体处理装置,通过上述结构和作用,能够在广RF频率区域中且在广RF功率区域中提高等离子体处理的面内均匀性。
附图说明
图1是表示本发明的一个实施方式的电容耦合型等离子体蚀刻装置的结构的纵截面图。
图2是放大表示实施方式的电容耦合型等离子体蚀刻装置的主要部分的结构的部分放大截面图。
图3是用于说明实施方式中的RF接地部件的作用的图。
图4是表示实施方式中的翼部件的结构例的立体图。
图5是作为比较例表示在图1的等离子体蚀刻装置中不具有RF接地部件和翼部件的结构的主要部分的部分放大截面图。
图6是表示由实施方式的装置结构得到的一实施例的蚀刻率分布特性的图。
图7是表示由图5的装置结构得到的比较例的蚀刻率分布特性的图。
图8是表示实施方式的等离子体蚀刻装置的一变形例的主要部分的结构的部分放大截面图。
图9是表示实施方式的等离子体蚀刻装置的另一变形例的主要部分的结构的部分放大截面图。
图10是表示实施方式的等离子体蚀刻装置的又一变形例的主要部分的结构的部分放大截面图。
符号说明
10  腔室(处理容器)
12  基座(下部电极)
16  电介质
18  RF接地部件
20  排气通路
22  挡板
25  翼部件
28  排气装置
32  第一高频电源
38  上部电极(喷淋头)
62  处理气体供给部
70  第二高频电源
88、90  盖部件
具体实施方式
以下参照附图说明本发明的优选的实施方式。
图1表示本发明的一实施方式的等离子体处理装置的结构。该等离子体处理装置构成为在下部电极上施加两个高频的阴极耦合方式(下部双频施加方式)的电容耦合型等离子体蚀刻装置,例如具有铝或不锈钢等金属制的圆筒型腔室(处理容器)10。腔室10被安全接地。
在腔室10内,设置有载置作为被处理基板的例如半导体晶片W的圆板状的下部电极或基座12。该基座12由导体例如铝构成,通过由绝缘体例如氧化铝构成的圆筒状保持部14被支承在腔室10的底壁上。
基座12的侧面和上表面的周边部(边缘部)夹着电介质16被从腔室10的底壁向垂直上方延伸的RF接地部件18覆盖。电介质16例如由石英构成,其下端与绝缘性圆筒状保持部14的上端部连接,其上端部朝向基座中心大致成直角地弯曲,覆盖基座12的上表面边缘部。RF接地部件18由表面例如被阳极氧化膜或Y2O3等绝缘覆膜19(参照图2,在其它的附图中省略图示)覆盖的铝构成,其下端与腔室10的底壁连接,其上端部朝向基座中心大致成直角地弯曲,隔着电介质16覆盖基座12的上表面边缘部。
在RF接地部件18与腔室10的内壁之间环状地设置有排气通路20。在该排气通路20的入口附近或上部环状地安装有圆锥形的排气环(挡板)22,并且在排气通路20的底部设置有排气口24。排气装置28通过排气管26连接于该排气口24。排气装置28具有真空泵,能够将腔室10内的处理空间减压至规定的真空度。腔室10的外壁上安装有开关半导体晶片W的搬入搬出口的闸阀30。
在基座12上通过第一匹配器34和供电棒36电连接有高频放电用的第一高频电源32。该第一高频电源32将适于等离子体生成的频率较高的例如100MHz的第一高频施加在下部电极即基座12上。在腔室10的顶部,后述的喷淋头38作为接地电位的上部电极被设置。由此,来自第一高频电源32的第一高频被电容性地施加在基座12与喷淋头38之间。
此外,在基座12上,通过第二匹配器72和供电棒36电连接有第二高频电源70。该第二高频电源70输出适于离子的引入的频率较低例如3.2MHz的第二高频。
在基座12的上表面设置有用于以静电吸附力保持半导体晶片W的静电卡盘40。该静电卡盘40是将由片状或网状的导电体构成的电极夹在绝缘膜中而成的,在该电极上通过开关43和电线电连接有直流电源42。利用来自直流电源42的直流电压,能够以库仑力将半导体晶片W吸附保持在卡盘上。
在基座12的内部设置有例如沿圆周方向延伸的冷介质室44。规定温度的冷介质例如冷却水从致冷单元46通过配管48、50被循环供给至该冷介质室44。能够利用冷介质的温度控制静电卡盘40上的半导体晶片W的处理温度。进一步,来自传热气体供给部52的传热气体例如He气体通过气体供给管54被供给至静电卡盘40的上表面与半导体晶片W的背面之间。
顶部的喷淋头38具备:具有多个气体通气孔56a的下表面的电极板56;和能够装卸地支承该电极板56的电极支承体58。在电极支承体58的内部设置有缓冲室60,在该缓冲室60的气体导入口60a上连接有来自处理气体供给部62的气体供给配管64。
在腔室10的周围配置有环状或同心状地延伸的两个环磁石66a、66b,使得在基座12与上部电极38之间的处理空间PS的周围形成磁场。该环磁石66a、66b设置为通过未图示的旋转机构能够旋转。
控制部68控制该等离子体蚀刻装置内的各部例如排气装置28、第一高频电源32、第一匹配器34、静电卡盘用的开关43、致冷单元46、传热气体供给部52、处理气体供给部62、第二高频电源70和第二匹配器72等的动作,因此也与主机(未图示)等连接。
在该等离子体蚀刻装置中,为了进行蚀刻,首先使闸阀30为开状态将加工对象的半导体晶片W搬入腔室10内,并载置在静电卡盘40上。然后,通过处理气体供给部62以规定的流量将蚀刻气体(一般是混合气体)导入腔室10内,通过排气装置28使腔室10内的压力成为设定值。进一步,从第一高频电源32以规定的功率将第一高频供给基座12,同时也从第二高频电源70以规定的功率将第二高频供给基座12。此外,从直流电源42向静电卡盘40的电极施加直流电压,将半导体晶片W固定在静电卡盘40上。从喷淋头38喷出的蚀刻气体在两电极12、38间通过第一高频的放电而等离子体化,半导体晶片W的主面通过由该等离子体生成的自由基、离子被蚀刻成期望的图案。
在该等离子体蚀刻装置中,从第一高频电源32对基座(下部电极)12施加相比于现有技术高很多的频率区域(优选是80MHz以上)的第一高频,由此,能够以优选的离解状态使等离子体高密度化,在更低压的条件下也能够形成高密度等离子体。与此同时,在基座12上施加3.2MHz这样的适于离子引入的频率较低的第二高频,由此能够对半导体晶片W的被加工膜进行选择性高的各向异性的蚀刻。从根本上说,等离子体生成用的第一高频是无论如何在等离子体处理中都必须使用的,但是离子引入用的第二高频根据处理的不同也可以不使用。
该电容耦合型等离子体蚀刻装置的主要的特征,如图2放大表示的,构成为具有隔着电介质16覆盖基座12的侧面和上表面周边部的导电性的RF接地部件18。
此处,接着以图3说明RF接地部件18的作用。另外,由于基于第二高频的离子的引入与RF接地部件18的作用没有很大的关系,所以未图示第二高频电源70。
在图3中,从第一高频电源32输出的第一高频RF在供电棒36的外周面表面传播并进入基座12的下表面中心部,由此在基座下表面表层传播放辐射状地向半径方向外侧传播,围绕基座外周面(侧面)到达基座上表面。然后,在基座12的上表面,第一高频RF从周边部朝向中心部,向半径方向内侧逆放射状地传播,并且穿过半导体晶片W向处理空间PS放射,与处理气体的分子碰撞,使气体分子电离或离解。此处,如果第一高频RF的频率超过大致80MHz,则在第一高频RF中,在到达半导体晶片W下之前从基座12的外周面(侧面)、上表面周边部向外飞出的比例增大到不能够忽略的程度。
在该实施方式中,如图3所示,从基座12的外周面(侧面)、上表面周边部飞出的第一高频RF’在穿过电介质16之后立即进入RF接地部件18,在RF接地部件18的内侧面表层传播,被传导至腔室10的底壁,从此处开始向接地线流动。这样,在向基座12供给的第一高频RF中,仅是从基座12的上表面通过半导体晶片W向处理空间PS放射的第一高频对处理气体的电离或离解即等离子体生成有效,处理空间PS内的等离子体生成区域理想地被设定在半导体晶片W的正上方。即,在处理空间PS中比半导体晶片W的正上方区域还靠半径方向外侧的区域中的等离子体生成被极度限制,关于等离子体密度分布从周边区域向晶片正上方区域的影响被限制。由此,在载置在基座12上的半导体晶片W上,等离子体密度分布不仅在中心部较高而且在边缘部也较高而在中间部较低的W形的分布图难以产生。
进一步,该电容耦合型等离子体蚀刻装置,在改善等离子体密度分布特性之外,还具有以下特征:采用在配置在排气通路20的入口附近的挡板22上一体形成或安装有具有铅直方向的板面的板状的翼部件25的结构。如图4所示,翼部件25在挡板222的周围方向上隔着一定间隔放射状地配置有多个。另外,在挡板22的底壁上形成有多个通气孔22a。翼部件25和挡板22中的任一个都由导体例如铝构成,其表面被阳极氧化膜或Y2O3等绝缘膜23(参照图2,在其它的附图中省略图示)覆盖,通过腔室10或RF接地部件18被电接地。
翼部件25具有不影响挡板22的本来的功能(使真空排气稳定化功能和处理空间压力控制功能)地促进从处理空间PS侧向排气通路20侧扩散的等离子体的消灭的功能。利用该翼部件25的等离子体消灭促进功能,能够使存在于排气通路20的入口附近到其上方的等离子体变少,相对地提高晶片正上方区域的等离子体密度,从而使山形分布图的高低差变小。
在图6中,作为一个实施例,表示在利用实施方式的等离子体蚀刻装置(图1)的蚀刻处理中得到的蚀刻率的面内分布特性。主要的蚀刻条件如下所述。
晶片口径:300mm
被蚀刻膜:光致抗蚀剂(覆盖膜)
处理气体:O2 100sccm
腔室内的压力:5mTorr
高频电力:100MHz/3.2MHz=500~2000/0W
温度:上部电极/腔室侧壁/下部电极=60/60/20℃
传热气体(He气体)供给压力:中心部/边缘部=10/50Torr
在图7中,作为比较例,表示在图1的等离子体蚀刻装置中不具有RF接地部件18和翼部件25的结构,即在以图5所示的方式构成基座12的周围的情况下,在与上述相同的蚀刻条件下得到的蚀刻率的面内分布特性。
在图5中,电介质16’覆盖基座12的上表面周边部,并且面向上部电极38、腔室10的顶部或内壁露出。在基座12的上表面,在晶片载置区域的周围,以载置在电介质16’之上的方式安装有由例如Si、SiC等构成的聚焦环80。覆盖电介质16’的侧面的被接地的圆筒状的导体82形成排气通路20的壁,并不覆盖基座12和电介质16’的上表面。
如图7所示,在不具有RF接地部件18和翼部件25的情况下,如果将等离子体生成用的第一高频(100MHz)的功率从500W提升至1000W、2000W,则蚀刻率的面内均匀性从±28.8%显著变低,成为±39.6%、±46.5%。另一方面,在500W的低功率区域中,蚀刻率分布成为不仅在基板上的中心部较高在边缘部也较高而中间部较低的W形的分布图。
与此相对,如图6所示,在实施例中,即使将第一高频(100MHz)的功率从500W提升至1000W、2000W,蚀刻率的面内均匀性从±15.8%到±20.7%、±20.1%并没有明显变化,是稳定的。此外,在任一功率区域中,即使存在高低差的不同,也集中为山形的分布图,不会产生W形的分布图。
一般光致刻蚀剂的蚀刻率依赖于电子密度,因此,图6和图7的蚀刻率分布特性能够置换为电子密度分布特性进行评价。
如上所述,根据本发明,即使在等离子体生成用的高频中使用相当高的频率(大致80MHz以上),也能够在广RF功率区域中使电子密度分布的面内均匀性稳定,而且,能够防止电子密度分布图的不规则的变化(特别是W形的产生)。从而,能够提高等离子体蚀刻的面内均匀性。
此外,在上述实施方式的等离子体蚀刻装置中,如上所述在任一个RF功率区域中电子密度分布均为山形的分布图,因此,为了修正为平坦的分布图,能够优选应用图8所示的在基座12的上表面上埋入电介质84的结构。在此情况下,电介质84的厚度可以设定为在基座12的中心部最大,随着朝向基座12的边缘部逐渐地(或者从中途开始)变小。
基于同样的目的,如图9所示,能够采用在上部电极38的下表面上埋入电介质86的结构。在此情况下,电介质86的厚度也可以设定为在基座12的中心部最大,随着朝向基座12的边缘部逐渐地(或者从中途开始)变小。
以上说明了本发明的优选的一个实施方式,但本发明并非限定于上述实施方式,能够进行各种变形。特别是,关于RF接地部件18和翼部件25的结构,能够以与装置内的其他机构最佳地组合的方式进行各种选择、变形。
例如,如图9所示,也能够采用在基座12的上表面,在半导体晶片W的边缘与RF接地部件18之间设定适当的间隙,在此以电浮动状态载置由适当的材质(例如Si、SiC等)构成的盖88的结构。在此情况下,高频从基座12的上表面通过电介质16和盖88向处理空间PS放射,在盖88的上方也生成等离子体。此外,也能够将挡板22形成为圆锥形以外的形状,例如水平形状,也能够采用使翼部件25的上表面倾斜的结构等。虽然省略了图示,但也能够采用将翼部件25从挡板22分离而独立设置的结构。
进一步,如图10所示,也能够采用在RF接地部件18的上表面上覆盖盖90的结构。
此外,本发明并不限定于对上述实施方式这样的下部双频施加方式的应用,例如也能够应用于在基座(下部电极)上施加单一高频的下部单频施加方式、和在上部电极上施加等离子体生成用的高频的类型。
进而,虽然省略了图示,但在上部电极施加等离子体生成用的高频的类型的装置中,也可以在上部电极周边部设置具有与在上述实施方式中说明的RF接地部件18同样的结构和功能的RF接地部件。通过设置覆盖上部电极的侧面和下表面的周边部分的RF接地部件,即使施加在上部电极上的高频的一部分从上部电极侧面、上部电极下面周边部向半径方向外侧放射,由于RF接地部件也能够接受该高频并导向接地线,因此,能够将处理空间内的等离子体生成区域限定于被处理基板的正上方和其附近。
本发明并不限定于等离子体蚀刻装置,也能够应用于等离子体CVD、等离子体氧化、等离子体氮化、溅射等其他等离子体处理装置。此外,本发明中的被处理基板并不限于半导体晶片,也能够是平板显示器用的各种基板、光掩模、CD基板、印刷基板等。

Claims (11)

1.一种等离子体处理装置,其特征在于,包括:
能够真空排气的处理容器;
在所述处理容器内载置被处理基板的下部电极;
在所述处理容器内与所述下部电极平行相对的上部电极;
向所述上部电极与所述下部电极之间的处理空间供给期望的处理气体的处理气体供给部;
将用于通过高频放电生成所述处理气体的等离子体的第一高频施加在所述下部电极上的第一高频供电部;和
用于接受从所述下部电极的周边部向半径方向外侧放出的高频并将其导向接地线而覆盖所述下部电极的周边部的导电性的高频接地部件,
所述第一高频的频率为80MHz以上。
2.一种等离子体处理装置,其特征在于,包括:
能够真空排气的处理容器;
在所述处理容器内载置被处理基板的下部电极;
在所述处理容器内与所述下部电极平行相对的上部电极;
向所述上部电极与所述下部电极之间的处理空间供给期望的处理气体的处理气体供给部;
将用于通过高频放电生成所述处理气体的等离子体的第一高频施加在所述下部电极上的第一高频供电部;和
覆盖所述下部电极的侧面和上表面的周边部分并接地的导电性的高频接地部件,
所述第一高频的频率为80MHz以上。
3.如权利要求2所述的等离子体处理装置,其特征在于:
所述高频接地部件覆盖所述下部电极的上表面中向所述基板的半径方向外侧伸出的部分的整个区域。
4.如权利要求1~3中任一项所述的等离子体处理装置,其特征在于:
在所述下部电极与所述高频接地部件之间夹持有电介质。
5.如权利要求1~3中任一项所述的等离子体处理装置,其特征在于:
所述高频接地部件的表面被绝缘膜覆盖。
6.如权利要求1~3中任一项所述的等离子体处理装置,其特征在于:
用于在所述高频接地部件与所述处理容器的内壁之间将所述处理空间连接至设置在所述处理容器的底部的排气口的排气通路形成为环状,
用于促进在所述排气通路的上部从所述处理空间扩散来的等离子体的消灭的沿铅直方向延伸的被接地的导电性的翼部件。
7.如权利要求6所述的等离子体处理装置,其特征在于:
所述翼部件一体地形成或安装在环状地设置在所述排气通路的上部的导电性的排气环上。
8.如权利要求7所述的等离子体处理装置,其特征在于:
所述翼部件的表面被绝缘膜覆盖。
9.如权利要求6所述的等离子体处理装置,其特征在于:
所述翼部件在所述排气通路的周围方向上隔着一定间隔放射状地配置有多个。
10.如权利要求1~3中任一项所述的等离子体处理装置,其特征在于:
在所述下部电极的上表面上,以在电极中心部最厚在电极周边部最薄的厚度分布设置有电介质。
11.如权利要求1~3中任一项所述的等离子体处理装置,其特征在于:
在所述上部电极的下表面上,以在电极中心部最厚在电极周边部最薄的厚度分布设置有电介质。
CN2009101294590A 2008-03-21 2009-03-20 等离子体处理装置 Active CN101540277B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2008-073376 2008-03-21
JP2008073376 2008-03-21
JP2008073376A JP5264231B2 (ja) 2008-03-21 2008-03-21 プラズマ処理装置

Publications (2)

Publication Number Publication Date
CN101540277A CN101540277A (zh) 2009-09-23
CN101540277B true CN101540277B (zh) 2012-12-05

Family

ID=41051678

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009101294590A Active CN101540277B (zh) 2008-03-21 2009-03-20 等离子体处理装置

Country Status (6)

Country Link
US (2) US20090236043A1 (zh)
JP (1) JP5264231B2 (zh)
KR (1) KR101124938B1 (zh)
CN (1) CN101540277B (zh)
DE (1) DE102009014067B4 (zh)
TW (1) TWI494994B (zh)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
CN101736326B (zh) * 2008-11-26 2011-08-10 中微半导体设备(上海)有限公司 电容耦合型等离子体处理反应器
JP5350043B2 (ja) * 2009-03-31 2013-11-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5424744B2 (ja) * 2009-07-01 2014-02-26 株式会社フェローテック 分割環状リブ型プラズマ処理装置
US9313872B2 (en) * 2009-10-27 2016-04-12 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
JP5582823B2 (ja) * 2010-02-26 2014-09-03 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
CN102810770B (zh) * 2011-05-31 2015-03-04 中微半导体设备(上海)有限公司 实现等离子体刻蚀腔体与阴极之间电连接的接地器件
US10103018B2 (en) * 2012-07-31 2018-10-16 Semes Co., Ltd. Apparatus for treating substrate
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
WO2015023435A1 (en) * 2013-08-12 2015-02-19 Applied Materials, Inc. Recursive pumping for symmetrical gas exhaust to control critical dimension uniformity in plasma reactors
JP6204869B2 (ja) * 2014-04-09 2017-09-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR101503255B1 (ko) 2014-10-10 2015-03-18 (주) 일하하이텍 기판 처리 장치 및 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10755902B2 (en) * 2015-05-27 2020-08-25 Tokyo Electron Limited Plasma processing apparatus and focus ring
JP2016225506A (ja) * 2015-06-01 2016-12-28 東京エレクトロン株式会社 表面改質装置、接合システム、表面改質方法、プログラム及びコンピュータ記憶媒体
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6492287B2 (ja) * 2015-10-01 2019-04-03 パナソニックIpマネジメント株式会社 素子チップの製造方法および電子部品実装構造体の製造方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN109075007B (zh) * 2016-06-21 2021-07-06 应用材料公司 Rf返回条带屏蔽盖罩
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10964514B2 (en) * 2017-10-17 2021-03-30 Lam Research Corporation Electrode for plasma processing chamber
JP2019109980A (ja) * 2017-12-15 2019-07-04 株式会社日立ハイテクノロジーズ プラズマ処理装置
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
KR102600470B1 (ko) * 2018-05-02 2023-11-13 삼성디스플레이 주식회사 표시 장치의 제조장치 및 표시 장치의 제조방법
EP3806586B1 (en) * 2018-05-30 2022-07-13 Toshiba Mitsubishi-Electric Industrial Systems Corporation Active gas generation device
US20200051793A1 (en) 2018-08-13 2020-02-13 Skc Solmics Co., Ltd. Ring-shaped element for etcher and method for etching substrate using the same
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7224192B2 (ja) * 2019-01-22 2023-02-17 東京エレクトロン株式会社 プラズマ処理装置
WO2020255319A1 (ja) * 2019-06-20 2020-12-24 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
KR102352039B1 (ko) 2020-11-30 2022-01-18 주식회사 글텍 정전척의 에지링 제조방법 및 이로부터 제조된 에지링을 포함하는 정전척
CN114695041A (zh) * 2020-12-25 2022-07-01 中微半导体设备(上海)股份有限公司 一种等离子体反应器
CN113737181B (zh) * 2021-09-27 2024-01-26 绍兴华立电子有限公司 一种弹片的蚀刻加工装置

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970003885B1 (ko) * 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
WO1992007377A1 (en) * 1990-10-23 1992-04-30 Genus, Inc. Sacrificial metal etchback system
JP3343629B2 (ja) * 1993-11-30 2002-11-11 アネルバ株式会社 プラズマ処理装置
US5736021A (en) * 1996-07-10 1998-04-07 Applied Materials, Inc. Electrically floating shield in a plasma reactor
US5879523A (en) * 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6051100A (en) * 1997-10-24 2000-04-18 International Business Machines Corporation High conductance plasma containment structure
JP2002231703A (ja) * 2001-01-30 2002-08-16 Anelva Corp プラズマ処理装置
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
WO2003062490A2 (en) * 2002-01-17 2003-07-31 Sundew Technologies, Llc Ald apparatus and method
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
JP4472372B2 (ja) 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
JP4255747B2 (ja) * 2003-05-13 2009-04-15 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP4640922B2 (ja) * 2003-09-05 2011-03-02 東京エレクトロン株式会社 プラズマ処理装置
US20080087220A1 (en) * 2003-12-03 2008-04-17 Tokyo Electron Limited Plasma Processing Apparatus and Multi-Chamber System
JP4959118B2 (ja) * 2004-04-30 2012-06-20 株式会社アルバック スパッタリング装置及びスパッタリング装置用のターゲット
JP5323303B2 (ja) * 2004-12-03 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置
US7767055B2 (en) * 2004-12-03 2010-08-03 Tokyo Electron Limited Capacitive coupling plasma processing apparatus
US7837825B2 (en) * 2005-06-13 2010-11-23 Lam Research Corporation Confined plasma with adjustable electrode area ratio
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
JP4877747B2 (ja) * 2006-03-23 2012-02-15 東京エレクトロン株式会社 プラズマエッチング方法
JP4753306B2 (ja) * 2006-03-29 2011-08-24 東京エレクトロン株式会社 プラズマ処理装置

Also Published As

Publication number Publication date
TW200943413A (en) 2009-10-16
DE102009014067A1 (de) 2009-10-08
CN101540277A (zh) 2009-09-23
US20090236043A1 (en) 2009-09-24
JP2009231439A (ja) 2009-10-08
US20130075037A1 (en) 2013-03-28
KR101124938B1 (ko) 2012-03-27
JP5264231B2 (ja) 2013-08-14
KR20090101129A (ko) 2009-09-24
TWI494994B (zh) 2015-08-01
US8651049B2 (en) 2014-02-18
DE102009014067B4 (de) 2014-02-13

Similar Documents

Publication Publication Date Title
CN101540277B (zh) 等离子体处理装置
TWI553729B (zh) Plasma processing method
JP6423706B2 (ja) プラズマ処理装置
US8826855B2 (en) C-shaped confinement ring for a plasma processing chamber
JP5294669B2 (ja) プラズマ処理装置
JP5064707B2 (ja) プラズマ処理装置
JP5606821B2 (ja) プラズマ処理装置
JP4869610B2 (ja) 基板保持部材及び基板処理装置
US20140141619A1 (en) Capacitively coupled plasma equipment with uniform plasma density
US20100300622A1 (en) Circular ring-shaped member for plasma process and plasma processing apparatus
US20140138030A1 (en) Capacitively coupled plasma equipment with uniform plasma density
CN101477944B (zh) 等离子体处理装置及其使用的电极和电极制造方法
JP2016522539A (ja) 均一なプラズマ密度を有する容量結合プラズマ装置
US10083820B2 (en) Dual-frequency surface wave plasma source
KR20170028849A (ko) 포커스 링 및 기판 처리 장치
CN111095498B (zh) 载置台、基板处理装置以及边缘环
US8342121B2 (en) Plasma processing apparatus
JP3243125B2 (ja) 処理装置
JP6727338B2 (ja) 非シャドウフレーム式プラズマ処理チャンバ
US11488804B2 (en) Shower head assembly and plasma processing apparatus having the same
TW202247236A (zh) 下電極組件和等離子體處理裝置
JP2007266536A (ja) プラズマ処理装置
US20150197852A1 (en) Plasma processing apparatus and plasma-uniformity control method

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant