CN101438389A - Cmos晶体管栅极中的凹入功函数金属 - Google Patents

Cmos晶体管栅极中的凹入功函数金属 Download PDF

Info

Publication number
CN101438389A
CN101438389A CNA2007800166132A CN200780016613A CN101438389A CN 101438389 A CN101438389 A CN 101438389A CN A2007800166132 A CNA2007800166132 A CN A2007800166132A CN 200780016613 A CN200780016613 A CN 200780016613A CN 101438389 A CN101438389 A CN 101438389A
Authority
CN
China
Prior art keywords
workfunction layers
workfunction
etching
oxide
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2007800166132A
Other languages
English (en)
Inventor
W·拉赫马迪
B·麦金太尔
M·K·哈珀
S·M·乔希
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN101438389A publication Critical patent/CN101438389A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • H01L21/203
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Composite Materials (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

晶体管栅极包括:衬底,表面上设置有一对间隔物;高k电介质,保形地沉积在所述间隔物之间的衬底上;凹入功函数金属,其在高k电介质上并沿着所述间隔物的侧壁的一部分保形地沉积;第二功函数金属,保形地沉积在凹入功函数金属上;以及电极金属,沉积在第二功函数金属上。所述晶体管栅极可以通过以下步骤形成:将高k电介质保形地沉积在衬底上的间隔物之间的沟槽中、在高k电介质上保形地沉积功函数金属、在功函数金属上沉积牺牲掩模、蚀刻所述牺牲掩模的一部分以暴露功函数金属的一部分、以及蚀刻功函数金属的暴露部分以形成凹入功函数金属。第二功函数金属和电极金属可沉积在凹入功函数金属上。

Description

CMOS晶体管栅极中的凹入功函数金属
背景技术
在下一代集成电路的制造中,用于互补金属氧化物半导体(CMOS)的栅电极的制造取得了进展,以高k介电材料和金属替代二氧化硅和多晶硅。替代金属栅极工艺(replacement metal gate process)常常用于形成栅电极。典型的替代金属栅极工艺始于在半导体衬底上、在一对间隔物之间形成高k介电材料和牺牲栅极。在诸如退火工艺的其它处理步骤之后,将牺牲栅极去除,并且以一个或多个金属层对所获得的沟槽进行填充。金属层可包括功函数金属以及电极金属层。
诸如原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、电镀(EP)以及无电镀(EL)等工艺可用于沉积形成金属栅电极的一个或更多金属层。不幸的是,随着CMOS晶体管尺寸减小,例如,随着晶体管栅极的长度达到45nm及以下,诸如沟槽突悬以及空隙形成等问题变得越来越具有挑战性且越来越严峻,尤其是当需要双金属栅电极时。这是因为在较小的尺寸下,在沉积双金属层时用于形成金属栅电极的沟槽的深宽比变得极具挑战性(aggressive)。如同本领域的普通技术人员可认识到的,这样的高深宽比沟槽的金属化常常引起空隙形成。
因此,需要改进的工艺来形成45nm节点水平及以上的CMOS晶体管的双金属栅电极。
附图说明
图1A至图1D示出双金属栅电极的传统制造工艺;
图2是根据本发明实施方式的双金属栅电极的制造方法;
图3A至图3J示出执行图2的方法时形成的结构。
具体实施方式
这里所述的是形成双金属栅电极的***和方法。在以下的说明中,示范实施方式的各个方案将使用本领域的技术人员为将其工作的实质内容传达给其它的本领域技术人员所通用的术语进行描述。然而,对于本领域的技术人员而言显而易见的是,本发明可以仅以所述多个方案中的部分来实施。出于说明的目的,为了提供对示范实施方式的彻底理解,特定数字、材料和结构被阐述。然而,对于本领域的技术人员而言显而易见的是,可以在无需这些特定细节的情况下实施本发明。在其它情况下,将众所周知的特征省去或简化,以防止造成示范实施方式的不易理解。
将各种操作描述为多个分立的操作,从而,以最有助于理解本发明的方式进行描述,然而,说明的顺序不应解释为暗示这些操作必需依赖于顺序。事实上,这些操作不需以表述的顺序进行。
本发明的实施方式可以为CMOS晶体管(包括栅极长度为45nm或以下的晶体管)制造无空隙的双金属栅电极。双金属栅电极形成于沟槽中,并且包括多个金属层,所述多个金属层包括至少两个功函数金属层和至少一个电极金属层(也称为填充金属层)。根据本发明的实施方式,一个功函数金属层由凹入功函数金属层构成,所述凹入功函数金属层使得沟槽的入口更宽,由此使沟槽具有挑战性较小的深宽比。随后沟槽的金属化可产生无空隙的双金属栅电极。
图1A至图1D示出在高k介电材料上形成双金属栅电极的传统工艺,以供参考。图1A示出衬底100,在衬底100上可形成双金属栅电极。衬底100可以是体硅或绝缘体上硅衬底以及其他材料。衬底100包括本领域熟知的间隔物102和隔离结构104。例如,间隔物102可使用氮化硅形成,而隔离结构104可以是诸如层间电介质(ILD,如图1所示)、二氧化硅层或浅沟槽隔离(STI)结构等结构。间隔物102之间为沟槽区106,在沟槽区106中可形成栅电极。
图1B示出衬底100上和沟槽106中高k栅极介电层108的沉积。如图所示,高k栅极介电层108保形地覆盖其所沉积的表面,包括沟槽106的底部和侧壁。在沉积高k栅极介电层108之后可以进行一个或更多工艺,诸如沉积牺牲栅极,然后进行退火工艺来提高高k介电层108的质量。如果采用了牺牲栅极,可在随后将其去除,并以一个或更多金属层替代。
例如,在去除可选的牺牲栅极之后,图1C示出在沟槽106中沉积一个或多个功函数金属层。这里采用两个功函数金属层,第一功函数金属层110和第二功函数金属层112。这两个功函数金属层110和112形成双金属栅电极。如图所示,沟槽106的深宽比随着所沉积的各层而增大。在沉积两个功函数金属层110和112之后,留下的待填充的沟槽间隙的深宽比具有很高挑战性。
图1D示出在沟槽106中沉积电极金属层114。电极金属层114用于完成双金属栅电极的形成。经常使用比常规用作功函数金属的金属更易于抛光的填充金属来形成电极金属层114。如图1D所示,沟槽106的高深宽比导致在沉积电极金属层114期间出现沟槽突悬,从而引起沟槽106中产生空隙116。空隙116的出现增加了双金属栅电极的电阻并使其可靠性降低。
为了解决这个问题,本发明的方法提供一种能够形成无空隙的双金属栅电极的制造工艺。图2是根据本发明实施方式的形成双金属栅电极的方法200。图3A至图3J示出执行图2的方法200时形成的结构。为了清楚起见,在讨论方法200期间将参照图3A至图3J的结构。本领域的技术人员可认识到,根据需要可将方法200整合到替代金属栅极工艺中。
始于方法200,提供包括至少一对间隔物的衬底,其中所述间隔物被沟槽分离(图2的工艺202)。如上所述,所述衬底可由半导体处理中通用的结构构成,诸如体硅或绝缘体上硅结构。在其它实施方式中,衬底可使用可以或不可以与硅结合的备选材料形成,包括但不限于锗、锑化铟、碲化铅、砷化铟、磷化铟、砷化镓或者锑化镓。尽管这里描述了可形成衬底的材料的几个实例,但是可用作构建半导体器件的基础的任何材料都落入本发明的精神和范围内。间隔物可由诸如氮化硅、氧化硅、碳化硅、或各种低k氮化物或氧化物材料中任一种的材料形成。
参照图3A,其示出衬底300包括一对间隔物302。间隔物被沟槽304分离。衬底300也可以包括其它结构,例如ILD层306和STI结构(未示出)。
接下来,在沟槽中沉积高k栅极介电层(图2的工艺204)。可采用保形沉积工艺来沉积高k栅极介电层,例如CVD或ALD工艺。可用于高k栅极介电层的材料包括但不限于二氧化铪、氧化铪硅、氧化镧、氧化镧铝、氧化锆、氧化锆硅、氧化钽、氧化钛、氧化钡锶钛、氧化钡钛、氧化锶钛、氧化钇、氧化铝、氧化铅钪钽和铌酸铅锌。尽管这里描述了可用于形成高k栅极介电层的材料的几个实例,但是所述层可以由其它材料制成。在将方法200整合到替代金属栅极工艺中的实施方式中,可以对高k介电层进行其它处理,例如退火工艺,以提高高k介电层的质量。
图3B示出在沟槽304中保形地沉积的高k栅极介电层308。如图所示,因为高k栅极介电层308被保形地沉积,所以所述层308将形成在沟槽304的侧壁以及沟槽304的底部上。高k栅极介电层308也将形成在ILD层306上。在一些实施方式中,高k栅极介电层308可以小于约60埃
Figure A200780016613D00091
厚,并且经常介于约厚与约
Figure A200780016613D00093
厚之间。高k介电层308的厚度可以根据将形成的栅电极的需要而改变。
在备选工艺流程中,在形成间隔物之前,可通过减成工艺形成高k栅极介电层。例如,在衬底上可形成高k介电层并对其进行回蚀来形成平面高k栅极介电层。然后,在高k栅极介电层的相对侧可形成间隔物。在此备选实施方式中,高k栅极介电层仅存在于沟槽的底部上,而不存在于沟槽侧壁上。
在沉积高k栅极介电层之后,可沉积第一功函数金属层(图2的工艺206)。可采用功函数金属的传统沉积工艺,例如CVD、ALD、PVD、溅射、电镀或无电镀。在本发明的某些实施方式中,第一功函数金属层的厚度可以是介于大约与大约之间。
参照图3C,其示出第一功函数金属层310已经沉积在高k介电层308上。第一功函数金属层310可以由p型金属或n型金属构成,这取决于所述晶体管是PMOS还是NMOS晶体管。在某些实施方式中,形成PMOS晶体管,并且可用于形成p型功函数金属层的材料包括但不限于钌、钯、铂、钴、镍、以及导电金属氧化物,例如氧化钌。p型金属层使得PMOS栅电极形成有介于大约4.9eV与大约5.2eV之间的功函数。或者,在某些实施方式中,形成NMOS晶体管,并且可用于形成n型功函数金属层的材料包括但不限于铪、锆、钛、钽、铝及它们的合金,例如包括这些元素的金属碳化物,即碳化铪、碳化锆、碳化钛、碳化钽以及碳化铝。n型金属层使得NMOS栅电极形成有介于大约3.9eV与大约4.2eV之间的功函数。
接下来,在沟槽中第一功函数金属上沉积牺牲掩模材料(图2的工艺208)。牺牲掩模材料将用于限定凹入功函数金属层。在本发明的某些实施方式中,牺牲掩模材料可以由旋涂式玻璃(SOG)材料、例如牺牲光吸收材料(SLAM)构成。在其它实施方式中,牺牲掩模材料可以由底部防反射涂层材料(BARC)构成。SLAM和BARC通常用于半导体处理中,并且在此工艺中提供需要的功能。应注意SLAM和BARC的光吸收特性与本发明的实施方式并不相关。如果采用SOG材料,旋涂式沉积(SOD)工艺可用来在第一功函数金属层上沉积和平面化SOG材料。可采用的两种特定SOG材料为有机旋涂式材料,例如193nm SLAM和248nm SLAM。
图3D示出在第一功函数金属层310上沉积牺牲掩模材料312。牺牲掩模材料312完全填充沟槽,并且可采用SOD工艺来沉积。如上所述,可采用SOD沉积工艺来平面化牺牲掩模材料312。
在牺牲掩模沉积之后,可进行蚀刻工艺来部分地回蚀牺牲掩模材料(图2的工艺210)。在本发明的多种实施方式中,可采用湿法蚀刻化学品或干法蚀刻化学品。所采用的特定湿法或干法蚀刻化学品必需与所采用的牺牲掩模材料相适应。例如,如果采用SLAM材料作为牺牲掩模材料,则适当的湿法蚀刻化学品可由氟基湿法蚀刻化学品构成。在一种实施方式中,这种氟基湿法蚀刻可采用氟化氢(HF)、氟化铵(NH4F)以及蒸馏水的混合物来蚀刻SLAM层。在另一种实施方式中,羟基湿法蚀刻化学品可用于蚀刻SLAM,例如,极性溶剂介质中四甲基氢氧化铵(TMAH)和氢氧化钾(KOH)的混合物。或者,用于SLAM材料的适当干法蚀刻化学品可由CH2F2干法蚀刻化学品、SF6干法蚀刻化学品或者NF3干法蚀刻化学品构成。
图3E示出在采用蚀刻化学品向下蚀刻之后的牺牲掩模材料312。在本发明的某些实施方式中,牺牲掩模材料312被向下蚀刻,直到其为沟槽高度的大约二分之一至四分之三。牺牲掩模材料312的蚀刻工艺通常对第一功函数金属层310几乎没有影响。
接下来,这一次对第一功函数金属层进行另一部分蚀刻工艺(图2的工艺212)。第一功函数层的部分蚀刻仅仅去除金属的暴露部分;第一功函数层仍然被牺牲掩模材料覆盖的部分没有被蚀刻。这样的部分蚀刻导致形成“U”形凹入功函数金属层310,如图3F所示。在某些实施方式中,可采用湿法蚀刻化学品来蚀刻第一功函数金属层。例如,在一种实施方式中,蒸馏水、氢氧化铵(NH4OH)和过氧化氢(H2O2)的组合物,也就是公知的标准清洗溶液可用于蚀刻第一功函数金属层。可采用的另一种湿法蚀刻化学品是硫酸和过氧化物在蒸馏水中的混合物。本发明的实施方式中可采用的其它湿法蚀刻化学品包括磷酸、乙酸及硝酸的混合物;盐酸、过氧化氢及水的混合物;以及盐酸、硝酸及水的混合物。在另外的实施方式中,干法蚀刻化学品可用于部分地蚀刻第一功函数金属层。
如图3F所示,功函数金属层310的蚀刻致使功函数金属层310相对于间隔物302凹入,因此使沟槽340的开口变宽,从而降低其深宽比和允许随后沉积的金属更易于进入沟槽304。沟槽304的开口的增宽基本上减小或消除了沟槽突悬的出现。例如,在第一功函数金属层310大约
Figure A200780016613D00111
厚的实施方式中,第一功函数金属层310的凹入使沟槽304展宽大约
Figure A200780016613D00112
在使第一功函数金属层310凹入之后,剩余的牺牲掩模材料可以被去除(图2的工艺214)。根据本发明的实施方式,与在工艺阶段210中用于部分地蚀刻牺牲掩模材料的工艺相同的湿法化学品工艺可在此使用,以去除剩余的牺牲掩模材料。在其它实施方式中,可使用备选的蚀刻工艺。图3G示出在去除了剩余的牺牲掩模材料312之后的凹入功函数金属层310。
接下来,沉积第二功函数金属层,以形成双金属栅电极(图2的工艺216)。同样地,可采用功函数金属的传统沉积工艺,例如CVD、ALD、PVD、溅射、电镀或无电镀。在本发明的某些实施方式中,第二功函数金属层的厚度可介于大约与大约
Figure A200780016613D00114
之间。
第二功函数金属可以是p型金属或n型金属。在某些实施方式中,第一和第二功函数金属二者可以是同种类型的(即两n型金属或两p型金属),而在其它实施方式中,第一和第二功函数金属可以是不同类型的(即一n型金属与一p型金属结合)。可用于第二功函数金属层的p型功函数金属包括但不限于钌、钯、铂、钴、镍、以及导电金属氧化物,例如氧化钌。可用于第二功函数金属层的n型功函数金属包括但不限于铪、锆、钛、钽、铝及它们的合金,例如包括这些元素的金属碳化物,即碳化铪、碳化锆、碳化钛、碳化钽以及碳化铝。
图3H示出保形地沉积在凹入功函数金属310上的第二功函数金属层314。如图所示,尽管已经沉积第一和第二功函数金属层310/314,但是沟槽304仍然具有有利于随后金属化的宽开口。沟槽304的深宽比即使在其最窄的部分仍然远远低于传统双金属栅极工艺中形成的沟槽的深宽比。
在沉积第二功函数金属层之后,沉积电极金属、也称为填充金属,从而用金属完全填充沟槽(图2的工艺218)。填充金属通常是易于抛光的材料,例如钨、铝、铜或者其它低电阻率金属。图3I示出以基本上无空隙的方式完全填充沟槽304的填充金属316的沉积。
最后,可进行化学机械抛光(CMP)工艺来去除多余的材料层,从而完成根据本发明的双金属栅电极的制造(图2的工艺220)。图3J示出在CMP工艺已经去除多余材料之后的最终双金属栅电极318。例如,CMP工艺可以不仅去除多余的填充金属316,而且可以去除第二功函数金属层314和高k介电层308的多余部分。
在本发明的实施方式中,双金属栅电极318可用于形成CMOS晶体管。例如,在衬底300中邻近于间隔物302可形成源极区和漏极区。可使用离子注入工艺来对衬底300邻近于间隔物302的区域进行掺杂,以形成这样的源极和漏极区。此外,在双金属栅电极318下方可形成沟道区。可形成用于源极区、漏极区和双金属栅电极318的电接触,以使所形成的CMOS晶体管有效。
因此,描述了本发明的实施方式,其使得相比于传统双金属栅极制造工艺能够制造具有更低的深宽比和更宽的沟槽开口的双金属栅电极。结果是形成更少的沟槽突悬和更少的空隙,从而使金属栅电极具有更低的电阻和更高的可靠性。应注意尽管这里描述了几个可用于形成第一和第二功函数金属层以及填充金属层的材料实例,但是如对于本领域的技术人员而言显而易见的,这些金属层可以由许多其它材料形成。
以上对于本发明的所示实施方式的说明,包括摘要中描述的,不是意在穷举的或者将本发明限制为所公开的准确形式。尽管这里为了举例说明的目的而描述了本发明的具体实施方式和实例,但是如本领域的技术人员可认识到的,在本发明的范围内可进行多种等效修改。
按照以上详细描述可对本发明进行这些修改。在所附权利要求书中使用的术语不应解释为将本发明限制于说明书和权利要求书中公开的具体实施方式。更确切地,本发明的范围将完全由所附权利要求书确定,其将根据权利要求解释的已确立原则进行理解。

Claims (20)

1、一种装置,包括:
衬底;
一对间隔物,设置在所述衬底的表面上;
高k介电层,保形地沉积在所述一对间隔物之间的所述衬底的表面上和所述间隔物的侧壁上;
凹入功函数金属层,沿着所述一对间隔物之间的所述衬底的表面并沿着所述间隔物的侧壁的一部分,保形地沉积在所述高k介电层上;
第二功函数金属层,保形地沉积在所述凹入功函数金属层和所述一对间隔物上;以及
电极金属层,沉积在所述第二功函数金属层上。
2、根据权利要求1所述的装置,其中所述衬底包括半导体材料,而所述间隔物包括氮化硅。
3、根据权利要求1所述的装置,其中所述高k介电层包括:氧化铪、氧化铪硅、氧化镧、氧化镧铝、氧化锆、氧化锆硅、氧化钽、氧化钛、氧化钡锶钛、氧化钡钛、氧化锶钛、氧化钇、氧化铝、氧化铅钪钽或铌酸铅锌。
4、根据权利要求1所述的装置,其中所述凹入功函数金属层是“U”形的。
5、根据权利要求1所述的装置,其中所述凹入功函数金属层和所述第二功函数金属层均包括从钌、钯、铂、钴、镍、导电金属氧化物、氧化钌、铪、锆、钛、钽、铝、碳化铪、碳化锆、碳化钛、碳化钽以及碳化铝构成的组中选择的至少一种金属。
6、根据权利要求1所述的装置,其中所述电极金属包括钨、铝、铜或低电阻率金属。
7、根据权利要求1所述的装置,还包括:
源极区,邻近于所述间隔物中的一个;
漏极区,邻近于所述间隔物中的另一个;以及
沟道区,在所述高k介电层下方。
8、一种方法,包括:
提供具有由沟槽分离的一对间隔物的衬底;
将高k介电层保形地沉积在所述间隔物之间的所述沟槽中;
将第一功函数金属层保形地沉积在所述沟槽中、所述高k介电层上;
将牺牲掩模材料沉积在所述沟槽中、所述第一功函数金属层上;
蚀刻所述牺牲掩模材料的一部分,直到其为所述沟槽高度的大约二分之一至四分之三,以暴露所述第一功函数金属层的一部分;
蚀刻所述第一功函数金属层的暴露部分,以形成凹入功函数金属层;
蚀刻剩余的牺牲掩模材料;
在所述凹入功函数金属层上保形地沉积第二功函数金属层;
在所述第二功函数金属层上沉积电极金属层;以及
至少对所述电极金属层进行平面化。
9、根据权利要求8所述的方法,其中所述第一功函数金属层和所述第二功函数金属层均包括从钌、钯、铂、钴、镍、导电金属氧化物、氧化钌、铪、锆、钛、钽、铝、碳化铪、碳化锆、碳化钛、碳化钽以及碳化铝构成的组中选择的至少一种金属。
10、根据权利要求8所述的方法,其中所述牺牲掩模材料包括SOG材料、SLAM材料或BARC材料。
11、根据权利要求8所述的方法,其中所述牺牲掩模材料的蚀刻包括对所述牺牲掩模材料应用氟基湿法蚀刻化学品。
12、根据权利要求8所述的方法,其中所述牺牲掩模材料的蚀刻包括对所述牺牲掩模材料应用干法蚀刻化学品,其中所述干法蚀刻化学品包括CH2F2干法蚀刻化学品、SF6干法蚀刻化学品或NF3干法蚀刻化学品。
13、根据权利要求8所述的方法,其中所述第一功函数金属层的蚀刻包括对所述第一功函数金属层应用湿法蚀刻化学品,其中所述湿法蚀刻化学品包括以下组合物中的至少一种:蒸馏水、NH4OH和H2O2的组合物;硫酸、过氧化物和蒸馏水的组合物;磷酸、乙酸和硝酸的组合物;盐酸、H2O2和水的组合物、以及盐酸、硝酸和水的组合物。
14、根据权利要求8所述的方法,其中所述第一功函数金属层的蚀刻包括对所述第一功函数金属层应用干法蚀刻化学品。
15、一种方法,包括:
提供衬底;
在所述衬底上形成高k介电层;
蚀刻所述高k介电层,以形成高k栅极介电层;
在所述高k栅极介电层的相对边形成一对间隔物;
将第一功函数金属层保形地沉积在所述间隔物之间的沟槽中,并且保形地沉积在所述高k栅极介电层上;
将牺牲掩模材料沉积在所述沟槽中、所述第一功函数金属层上;
蚀刻所述牺牲掩模材料的一部分,以暴露所述第一功函数金属层的一部分;
蚀刻所述第一功函数金属层的暴露部分,以形成凹入功函数金属层;
蚀刻剩余的牺牲掩模材料;
在所述凹入功函数金属层上保形地沉积第二功函数金属层;
在所述第二功函数金属层上沉积电极金属层;以及
至少对所述电极金属层进行平面化。
16、根据权利要求15所述的方法,其中所述第一功函数金属层和所述第二功函数金属层均包括钌、钯、铂、钴、镍、导电金属氧化物、氧化钌、铪、锆、钛、钽、铝、碳化铪、碳化锆、碳化钛、碳化钽以及碳化铝中的至少一种。
17、根据权利要求15所述的方法,其中所述牺牲掩模材料的蚀刻包括应用氟基湿法蚀刻化学品。
18、根据权利要求15所述的方法,其中所述牺牲掩模材料的蚀刻包括应用CH2F2干法蚀刻化学品、SF6干法蚀刻化学品或NF3干法蚀刻化学品。
19、根据权利要求15所述的方法,其中所述第一功函数金属层的蚀刻包括应用以下组合物中的至少一种:蒸馏水、NH4OH和H2O2的组合物;硫酸、过氧化物和蒸馏水的组合物;磷酸、乙酸和硝酸的组合物;盐酸、H2O2和水的组合物;或者盐酸、硝酸和水的组合物。
20、根据权利要求15所述的方法,其中所述第一功函数金属层的蚀刻包括对所述第一功函数金属层应用干法蚀刻化学品。
CNA2007800166132A 2006-05-09 2007-05-01 Cmos晶体管栅极中的凹入功函数金属 Pending CN101438389A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/431,388 2006-05-09
US11/431,388 US8193641B2 (en) 2006-05-09 2006-05-09 Recessed workfunction metal in CMOS transistor gates

Publications (1)

Publication Number Publication Date
CN101438389A true CN101438389A (zh) 2009-05-20

Family

ID=38684362

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2007800166132A Pending CN101438389A (zh) 2006-05-09 2007-05-01 Cmos晶体管栅极中的凹入功函数金属

Country Status (8)

Country Link
US (2) US8193641B2 (zh)
JP (1) JP5062913B2 (zh)
KR (1) KR101332104B1 (zh)
CN (1) CN101438389A (zh)
DE (1) DE112007001134B4 (zh)
GB (1) GB2450836B (zh)
TW (1) TWI354374B (zh)
WO (1) WO2007133440A2 (zh)

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102104061A (zh) * 2009-12-21 2011-06-22 台湾积体电路制造股份有限公司 用于场效应晶体管的栅极电极以及场效应晶体管
CN102237399A (zh) * 2010-04-22 2011-11-09 联华电子股份有限公司 具有金属栅极的半导体元件及其制作方法
CN102386217A (zh) * 2010-09-01 2012-03-21 中芯国际集成电路制造(上海)有限公司 栅极堆叠结构及其制作方法
CN102468145A (zh) * 2010-11-01 2012-05-23 中芯国际集成电路制造(上海)有限公司 金属栅极的形成方法
CN102856255A (zh) * 2011-06-27 2013-01-02 联华电子股份有限公司 具有金属栅极的半导体元件及其制作方法
CN102891111A (zh) * 2011-07-22 2013-01-23 中芯国际集成电路制造(上海)有限公司 双金属栅极晶体管的制造方法
CN102903742A (zh) * 2011-07-25 2013-01-30 台湾积体电路制造股份有限公司 场效应晶体管的金属栅电极
CN103688356A (zh) * 2011-07-20 2014-03-26 国际商业机器公司 具有平面功函数材料层的替代栅电极
CN104795437A (zh) * 2014-01-17 2015-07-22 台湾积体电路制造股份有限公司 金属栅极结构及其制造方法
CN105097469A (zh) * 2014-05-22 2015-11-25 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
CN105304710A (zh) * 2014-05-29 2016-02-03 爱思开海力士有限公司 双功函数掩埋栅型晶体管、形成方法和包括其的电子器件
CN106024716A (zh) * 2015-03-27 2016-10-12 国际商业机器公司 用于纳米线cmos技术的用于多栅极功函数的技术
CN106158749A (zh) * 2015-04-17 2016-11-23 格罗方德半导体公司 半导体装置的替代金属栅极中的功函数金属的选择性生长
CN106505104A (zh) * 2015-09-04 2017-03-15 台湾积体电路制造股份有限公司 Finfet器件及其制造方法
CN106941120A (zh) * 2015-12-23 2017-07-11 爱思开海力士有限公司 具有掩埋栅结构的半导体器件及其制造方法以及存储单元
CN107112217A (zh) * 2015-01-08 2017-08-29 国际商业机器公司 替代金属栅极finFET器件上的自下而上金属栅极形成
CN108269847A (zh) * 2016-12-30 2018-07-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN108735672A (zh) * 2017-04-21 2018-11-02 格芯公司 用于栅极高度控制及无空洞rmg填充的集成方案
CN110459603A (zh) * 2018-05-08 2019-11-15 格芯公司 倒角的替代栅极结构
CN110752180A (zh) * 2019-10-25 2020-02-04 中国科学院微电子研究所 一种基板及其制备方法
WO2023019739A1 (zh) * 2021-08-20 2023-02-23 长鑫存储技术有限公司 晶体管及其制备方法
WO2023245761A1 (zh) * 2022-06-23 2023-12-28 长鑫存储技术有限公司 半导体结构的处理方法以及字线结构的形成方法

Families Citing this family (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7859112B2 (en) * 2006-01-13 2010-12-28 Micron Technology, Inc. Additional metal routing in semiconductor devices
US7425497B2 (en) * 2006-01-20 2008-09-16 International Business Machines Corporation Introduction of metal impurity to change workfunction of conductive electrodes
US8193641B2 (en) * 2006-05-09 2012-06-05 Intel Corporation Recessed workfunction metal in CMOS transistor gates
US7655550B2 (en) * 2006-06-30 2010-02-02 Freescale Semiconductor, Inc. Method of making metal gate transistors
KR100752674B1 (ko) 2006-10-17 2007-08-29 삼성전자주식회사 미세 피치의 하드마스크 패턴 형성 방법 및 이를 이용한반도체 소자의 미세 패턴 형성 방법
US8063434B1 (en) 2007-05-25 2011-11-22 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
US8940645B2 (en) 2007-05-25 2015-01-27 Cypress Semiconductor Corporation Radical oxidation process for fabricating a nonvolatile charge trap memory device
US9449831B2 (en) 2007-05-25 2016-09-20 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8633537B2 (en) 2007-05-25 2014-01-21 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
US20090179253A1 (en) 2007-05-25 2009-07-16 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
MY153085A (en) 2008-03-13 2014-12-31 Bluescope Steel Ltd Metal-coated steel strip
US8039381B2 (en) * 2008-09-12 2011-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist etch back method for gate last process
US7939392B2 (en) * 2008-10-06 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gate height control in a gate last process
US8440547B2 (en) 2009-02-09 2013-05-14 International Business Machines Corporation Method and structure for PMOS devices with high K metal gate integration and SiGe channel engineering
US20120088115A1 (en) 2009-03-13 2012-04-12 Bluescope Steel Limited Corrosion protection with al / zn-based coatings
US8193081B2 (en) * 2009-10-20 2012-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for metal gate formation with wider metal gate fill margin
DE102009046245B4 (de) * 2009-10-30 2016-08-04 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Herstellung von Metallgateelektrodenstrukturen mit einer separaten Abtragung von Platzhaltermaterialien in Transistoren unterschiedlicher Leitfähigkeitsart
US8530971B2 (en) * 2009-11-12 2013-09-10 International Business Machines Corporation Borderless contacts for semiconductor devices
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
KR101656444B1 (ko) * 2010-01-25 2016-09-09 삼성전자주식회사 상보형 mos 트랜지스터, 상기 상보형 mos 트랜지스터를 포함하는 반도체 장치, 및 상기 반도체 장치를 포함하는 반도체 모듈
DE102010002411B4 (de) * 2010-02-26 2012-10-31 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Kontaktbalken mit reduzierter Randzonenkapazität in einem Halbleiterbauelement
TWI536451B (zh) * 2010-04-26 2016-06-01 應用材料股份有限公司 使用具金屬系前驅物之化學氣相沉積與原子層沉積製程之n型金氧半導體金屬閘極材料、製造方法及設備
KR101781620B1 (ko) * 2010-09-01 2017-09-25 삼성전자주식회사 모오스 트랜지스터의 제조방법
TWI428274B (zh) * 2010-11-30 2014-03-01 Nat Univ Tsing Hua Process of nanotubes with internal connections
US8564063B2 (en) 2010-12-07 2013-10-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
KR101802220B1 (ko) * 2010-12-20 2017-11-29 삼성전자주식회사 수직형 채널 트랜지스터를 포함하는 반도체 소자 및 그 제조 방법
US8633534B2 (en) 2010-12-22 2014-01-21 Intel Corporation Transistor channel mobility using alternate gate dielectric materials
US8574990B2 (en) * 2011-02-24 2013-11-05 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US8211775B1 (en) 2011-03-09 2012-07-03 United Microelectronics Corp. Method of making transistor having metal gate
US8519487B2 (en) 2011-03-21 2013-08-27 United Microelectronics Corp. Semiconductor device
US8802524B2 (en) 2011-03-22 2014-08-12 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gates
GB2489682B (en) * 2011-03-30 2015-11-04 Pragmatic Printing Ltd Electronic device and its method of manufacture
US9384962B2 (en) 2011-04-07 2016-07-05 United Microelectronics Corp. Oxygen treatment of replacement work-function metals in CMOS transistor gates
CN102800592B (zh) * 2011-05-25 2015-01-21 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8704294B2 (en) 2011-06-13 2014-04-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US20120319198A1 (en) 2011-06-16 2012-12-20 Chin-Cheng Chien Semiconductor device and fabrication method thereof
US8674452B2 (en) 2011-06-24 2014-03-18 United Microelectronics Corp. Semiconductor device with lower metal layer thickness in PMOS region
US8642424B2 (en) 2011-07-12 2014-02-04 International Business Machines Corporation Replacement metal gate structure and methods of manufacture
US8629007B2 (en) 2011-07-14 2014-01-14 International Business Machines Corporation Method of improving replacement metal gate fill
US8486790B2 (en) 2011-07-18 2013-07-16 United Microelectronics Corp. Manufacturing method for metal gate
US8580625B2 (en) 2011-07-22 2013-11-12 Tsuo-Wen Lu Metal oxide semiconductor transistor and method of manufacturing the same
US8477006B2 (en) * 2011-08-30 2013-07-02 United Microelectronics Corp. Resistor and manufacturing method thereof
US9076889B2 (en) * 2011-09-26 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Replacement gate semiconductor device
US8765588B2 (en) 2011-09-28 2014-07-01 United Microelectronics Corp. Semiconductor process
US8753968B2 (en) * 2011-10-24 2014-06-17 United Microelectronics Corp. Metal gate process
US8658487B2 (en) 2011-11-17 2014-02-25 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US8669618B2 (en) 2011-12-15 2014-03-11 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US8546212B2 (en) 2011-12-21 2013-10-01 United Microelectronics Corp. Semiconductor device and fabricating method thereof
US8685813B2 (en) 2012-02-15 2014-04-01 Cypress Semiconductor Corporation Method of integrating a charge-trapping gate stack into a CMOS flow
US8860135B2 (en) 2012-02-21 2014-10-14 United Microelectronics Corp. Semiconductor structure having aluminum layer with high reflectivity
US8860181B2 (en) 2012-03-07 2014-10-14 United Microelectronics Corp. Thin film resistor structure
US8951855B2 (en) 2012-04-24 2015-02-10 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US20130288465A1 (en) * 2012-04-26 2013-10-31 Applied Materials, Inc. Methods for filling high aspect ratio features on substrates
US8836049B2 (en) 2012-06-13 2014-09-16 United Microelectronics Corp. Semiconductor structure and process thereof
US8673731B2 (en) 2012-08-20 2014-03-18 International Business Machines Corporation Techniques for gate workfunction engineering to reduce short channel effects in planar CMOS devices
US9214349B2 (en) * 2012-10-12 2015-12-15 Samsung Electronics Co., Ltd. Method for manufacturing semiconductor device
US9054172B2 (en) 2012-12-05 2015-06-09 United Microelectrnics Corp. Semiconductor structure having contact plug and method of making the same
US9514983B2 (en) * 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US8735269B1 (en) 2013-01-15 2014-05-27 United Microelectronics Corp. Method for forming semiconductor structure having TiN layer
US20140246734A1 (en) * 2013-03-01 2014-09-04 Globalfoundries Inc. Replacement metal gate with mulitiple titanium nitride laters
US9129985B2 (en) 2013-03-05 2015-09-08 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US9023708B2 (en) 2013-04-19 2015-05-05 United Microelectronics Corp. Method of forming semiconductor device
US9184254B2 (en) 2013-05-02 2015-11-10 United Microelectronics Corporation Field-effect transistor and fabricating method thereof
US9159798B2 (en) 2013-05-03 2015-10-13 United Microelectronics Corp. Replacement gate process and device manufactured using the same
US9196542B2 (en) 2013-05-22 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor devices
US8921947B1 (en) 2013-06-10 2014-12-30 United Microelectronics Corp. Multi-metal gate semiconductor device having triple diameter metal opening
KR20140145419A (ko) * 2013-06-13 2014-12-23 삼성전자주식회사 반도체 소자 제조 방법
US9064814B2 (en) 2013-06-19 2015-06-23 United Microelectronics Corp. Semiconductor structure having metal gate and manufacturing method thereof
KR101996244B1 (ko) 2013-06-27 2019-07-05 삼성전자 주식회사 반도체 소자 제조 방법
US9384984B2 (en) 2013-09-03 2016-07-05 United Microelectronics Corp. Semiconductor structure and method of forming the same
US9245972B2 (en) 2013-09-03 2016-01-26 United Microelectronics Corp. Method for manufacturing semiconductor device
US20150069534A1 (en) 2013-09-11 2015-03-12 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US9196546B2 (en) 2013-09-13 2015-11-24 United Microelectronics Corp. Metal gate transistor
US9281201B2 (en) 2013-09-18 2016-03-08 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US9318490B2 (en) 2014-01-13 2016-04-19 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
US9231071B2 (en) 2014-02-24 2016-01-05 United Microelectronics Corp. Semiconductor structure and manufacturing method of the same
US9281372B2 (en) * 2014-07-17 2016-03-08 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
JP6455846B2 (ja) * 2014-08-29 2019-01-23 インテル・コーポレーション 複数の金属層および関連する構成を有する高アスペクト比の細長い構造を充填するための技法
KR102312262B1 (ko) 2014-09-02 2021-10-15 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN105470200B (zh) * 2014-09-09 2020-04-21 联华电子股份有限公司 具有金属栅极的半导体元件及其制作方法
US9230864B1 (en) 2014-10-16 2016-01-05 United Microelectronics Corp. Method of forming a semiconductor device having a metal gate
KR102342847B1 (ko) 2015-04-17 2021-12-23 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9679813B2 (en) 2015-05-12 2017-06-13 United Microelectronics Corp. Semiconductor structure and process for forming plug including layer with pulled back sidewall part
KR20160148795A (ko) * 2015-06-16 2016-12-27 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9865703B2 (en) * 2015-12-31 2018-01-09 International Business Machines Corporation High-K layer chamfering to prevent oxygen ingress in replacement metal gate (RMG) process
US9741817B2 (en) * 2016-01-21 2017-08-22 Tower Semiconductor Ltd. Method for manufacturing a trench metal insulator metal capacitor
US10043886B2 (en) 2016-08-03 2018-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate formation through etch back process
US10269917B2 (en) 2016-10-19 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a FinFET with work function tuning layers having stair-step increment sidewalls
US10217839B2 (en) 2017-03-24 2019-02-26 Globalfoundries Inc. Field effect transistor (FET) with a gate having a recessed work function metal layer and method of forming the FET
US10043910B1 (en) 2017-04-26 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
KR102392845B1 (ko) 2017-11-28 2022-04-29 삼성전자주식회사 반도체 장치
KR102495258B1 (ko) * 2018-04-24 2023-02-03 삼성전자주식회사 반도체 장치
US10535523B1 (en) * 2018-08-30 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Formation and in-situ etching processes for metal layers
US11728401B2 (en) 2020-10-30 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods thereof

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3523093B2 (ja) 1997-11-28 2004-04-26 株式会社東芝 半導体装置およびその製造方法
JP4237332B2 (ja) * 1999-04-30 2009-03-11 株式会社東芝 半導体装置の製造方法
JP2001257344A (ja) * 2000-03-10 2001-09-21 Toshiba Corp 半導体装置及び半導体装置の製造方法
WO2001071807A1 (fr) * 2000-03-24 2001-09-27 Fujitsu Limited Dispositif a semi-conducteur et son procede de fabrication
JP2001284466A (ja) 2000-03-29 2001-10-12 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US6444512B1 (en) 2000-06-12 2002-09-03 Motorola, Inc. Dual metal gate transistors for CMOS process
JP3906020B2 (ja) 2000-09-27 2007-04-18 株式会社東芝 半導体装置及びその製造方法
JP2002198521A (ja) * 2000-12-25 2002-07-12 Nec Corp 半導体装置の製造方法
US6423619B1 (en) * 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US6653698B2 (en) * 2001-12-20 2003-11-25 International Business Machines Corporation Integration of dual workfunction metal gate CMOS devices
US6794281B2 (en) 2002-05-20 2004-09-21 Freescale Semiconductor, Inc. Dual metal gate transistors for CMOS process
US7056794B2 (en) * 2004-01-09 2006-06-06 International Business Machines Corporation FET gate structure with metal gate electrode and silicide contact
US7285829B2 (en) * 2004-03-31 2007-10-23 Intel Corporation Semiconductor device having a laterally modulated gate workfunction and method of fabrication
US7153784B2 (en) * 2004-04-20 2006-12-26 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7157378B2 (en) 2004-07-06 2007-01-02 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7390709B2 (en) * 2004-09-08 2008-06-24 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7126199B2 (en) * 2004-09-27 2006-10-24 Intel Corporation Multilayer metal gate electrode
US7381608B2 (en) * 2004-12-07 2008-06-03 Intel Corporation Method for making a semiconductor device with a high-k gate dielectric and a metal gate electrode
US7229873B2 (en) * 2005-08-10 2007-06-12 Texas Instruments Incorporated Process for manufacturing dual work function metal gates in a microelectronics device
JP4967313B2 (ja) * 2005-11-09 2012-07-04 ソニー株式会社 半導体装置の製造方法
US8193641B2 (en) 2006-05-09 2012-06-05 Intel Corporation Recessed workfunction metal in CMOS transistor gates

Cited By (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8779530B2 (en) 2009-12-21 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a field effect transistor
US9129953B2 (en) 2009-12-21 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a gate structure
US9431505B2 (en) 2009-12-21 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a gate structure
CN102104061A (zh) * 2009-12-21 2011-06-22 台湾积体电路制造股份有限公司 用于场效应晶体管的栅极电极以及场效应晶体管
CN102237399A (zh) * 2010-04-22 2011-11-09 联华电子股份有限公司 具有金属栅极的半导体元件及其制作方法
CN102237399B (zh) * 2010-04-22 2015-01-07 联华电子股份有限公司 具有金属栅极的半导体元件及其制作方法
CN102386217A (zh) * 2010-09-01 2012-03-21 中芯国际集成电路制造(上海)有限公司 栅极堆叠结构及其制作方法
CN102386217B (zh) * 2010-09-01 2014-02-05 中芯国际集成电路制造(上海)有限公司 栅极堆叠结构及其制作方法
CN102468145A (zh) * 2010-11-01 2012-05-23 中芯国际集成电路制造(上海)有限公司 金属栅极的形成方法
CN102856255A (zh) * 2011-06-27 2013-01-02 联华电子股份有限公司 具有金属栅极的半导体元件及其制作方法
CN102856255B (zh) * 2011-06-27 2016-05-25 联华电子股份有限公司 具有金属栅极的半导体元件及其制作方法
CN103688356A (zh) * 2011-07-20 2014-03-26 国际商业机器公司 具有平面功函数材料层的替代栅电极
CN102891111B (zh) * 2011-07-22 2015-01-21 中芯国际集成电路制造(上海)有限公司 双金属栅极晶体管的制造方法
CN102891111A (zh) * 2011-07-22 2013-01-23 中芯国际集成电路制造(上海)有限公司 双金属栅极晶体管的制造方法
US9449828B2 (en) 2011-07-25 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal gate electrode
CN102903742B (zh) * 2011-07-25 2015-08-05 台湾积体电路制造股份有限公司 场效应晶体管的金属栅电极
CN102903742A (zh) * 2011-07-25 2013-01-30 台湾积体电路制造股份有限公司 场效应晶体管的金属栅电极
US9196691B2 (en) 2011-07-25 2015-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate electrode of a field effect transistor
CN104795437A (zh) * 2014-01-17 2015-07-22 台湾积体电路制造股份有限公司 金属栅极结构及其制造方法
CN104795437B (zh) * 2014-01-17 2018-06-15 台湾积体电路制造股份有限公司 金属栅极结构及其制造方法
CN105097469B (zh) * 2014-05-22 2018-10-23 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
CN105097469A (zh) * 2014-05-22 2015-11-25 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
CN105304710A (zh) * 2014-05-29 2016-02-03 爱思开海力士有限公司 双功函数掩埋栅型晶体管、形成方法和包括其的电子器件
CN105304710B (zh) * 2014-05-29 2019-10-25 爱思开海力士有限公司 双功函数掩埋栅型晶体管、形成方法和包括其的电子器件
CN107112217A (zh) * 2015-01-08 2017-08-29 国际商业机器公司 替代金属栅极finFET器件上的自下而上金属栅极形成
CN106024716A (zh) * 2015-03-27 2016-10-12 国际商业机器公司 用于纳米线cmos技术的用于多栅极功函数的技术
CN106158749A (zh) * 2015-04-17 2016-11-23 格罗方德半导体公司 半导体装置的替代金属栅极中的功函数金属的选择性生长
CN106505104B (zh) * 2015-09-04 2020-06-19 台湾积体电路制造股份有限公司 Finfet器件及其制造方法
CN106505104A (zh) * 2015-09-04 2017-03-15 台湾积体电路制造股份有限公司 Finfet器件及其制造方法
CN106941120A (zh) * 2015-12-23 2017-07-11 爱思开海力士有限公司 具有掩埋栅结构的半导体器件及其制造方法以及存储单元
CN106941120B (zh) * 2015-12-23 2020-12-25 爱思开海力士有限公司 具有掩埋栅结构的半导体器件及其制造方法以及存储单元
US11276761B2 (en) 2015-12-23 2022-03-15 SK Hynix Inc. Semiconductor device having buried gate structure, method for manufacturing the same, and memory cell having the same
CN108269847A (zh) * 2016-12-30 2018-07-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN108735672A (zh) * 2017-04-21 2018-11-02 格芯公司 用于栅极高度控制及无空洞rmg填充的集成方案
CN108735672B (zh) * 2017-04-21 2023-07-14 格芯(美国)集成电路科技有限公司 用于栅极高度控制及无空洞rmg填充的集成方案
CN110459603A (zh) * 2018-05-08 2019-11-15 格芯公司 倒角的替代栅极结构
CN110752180A (zh) * 2019-10-25 2020-02-04 中国科学院微电子研究所 一种基板及其制备方法
CN110752180B (zh) * 2019-10-25 2022-03-08 中国科学院微电子研究所 一种基板及其制备方法
WO2023019739A1 (zh) * 2021-08-20 2023-02-23 长鑫存储技术有限公司 晶体管及其制备方法
WO2023245761A1 (zh) * 2022-06-23 2023-12-28 长鑫存储技术有限公司 半导体结构的处理方法以及字线结构的形成方法

Also Published As

Publication number Publication date
TW200812079A (en) 2008-03-01
JP5062913B2 (ja) 2012-10-31
GB2450836A (en) 2009-01-07
WO2007133440A3 (en) 2008-01-10
US8193641B2 (en) 2012-06-05
DE112007001134T5 (de) 2009-04-09
US8377771B2 (en) 2013-02-19
TWI354374B (en) 2011-12-11
WO2007133440A2 (en) 2007-11-22
KR101332104B1 (ko) 2013-11-21
JP2009534847A (ja) 2009-09-24
GB2450836B (en) 2011-09-21
DE112007001134B4 (de) 2014-12-11
KR20080110891A (ko) 2008-12-19
US20070262451A1 (en) 2007-11-15
GB0819771D0 (en) 2008-12-03
US20120264285A1 (en) 2012-10-18

Similar Documents

Publication Publication Date Title
CN101438389A (zh) Cmos晶体管栅极中的凹入功函数金属
KR101595932B1 (ko) 집적 회로들 및 금속 게이트 전극들을 갖는 집적 회로들을 제조하기 위한 방법들
US11410846B2 (en) Method for metal gate surface clean
CN106206688B (zh) 半导体器件结构的结构和形成方法
US8969922B2 (en) Field effect transistors and method of forming the same
US9337192B2 (en) Metal gate stack having TaAlCN layer
US9443954B2 (en) Method for manufacturing semiconductor device having metal gate
CN101740506B (zh) 构图金属栅极的方法
CN101790778A (zh) 高k/金属栅极晶体管的接触部中的硅化物层
CN102640291A (zh) 自对准接触部
WO2005106950A1 (en) A method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
CN107863323B (zh) 半导体装置的形成方法
CN102487048A (zh) 半导体器件的形成方法
US11610977B2 (en) Methods of forming nano-sheet-based devices having inner spacer structures with different widths
CN113314609A (zh) 半导体器件及方法
CN113178446A (zh) 半导体器件及方法
US9548239B2 (en) Method for fabricating contact plug in an interlayer dielectric layer
JP2009224509A (ja) 半導体装置及びその製造方法
CN105990116A (zh) 一种制作半导体元件的方法
TWI575735B (zh) 半導體裝置結構及其形成方法
CN114927471A (zh) 半导体结构的形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Open date: 20090520