CN101238568A - Electrostatic chuck for semiconductor workpieces - Google Patents

Electrostatic chuck for semiconductor workpieces Download PDF

Info

Publication number
CN101238568A
CN101238568A CNA2006800225013A CN200680022501A CN101238568A CN 101238568 A CN101238568 A CN 101238568A CN A2006800225013 A CNA2006800225013 A CN A2006800225013A CN 200680022501 A CN200680022501 A CN 200680022501A CN 101238568 A CN101238568 A CN 101238568A
Authority
CN
China
Prior art keywords
chuck
workpiece
substrate
photoresist
developer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2006800225013A
Other languages
Chinese (zh)
Inventor
石川彻夜
布莱恩·鲁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Screen Semiconductor Solutions Co Ltd
Original Assignee
Screen Semiconductor Solutions Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Screen Semiconductor Solutions Co Ltd filed Critical Screen Semiconductor Solutions Co Ltd
Publication of CN101238568A publication Critical patent/CN101238568A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

A chuck for a semiconductor workpiece features integrated resistive heating and electrostatic bipolar chucking elements on a thermal pedestal. These integrated heating and chucking elements maintain wafer flatness, as well as uniformity of an underlying gap accommodating a thermal gas between the workpiece and the chuck. In accordance with one embodiment of the present invention, a laminated Kapton wafer heater is attached to the top of the thermal surface, under the wafer: At least two electrical voltage zones are isolated within the heater, in order to create a chucking force between the chuck and the wafer without having to contact the wafer with an electrical conductor. These voltage zones can be created by using separate conducting elements as well as by imposing a DC bias on zones including the resistive heating elements.

Description

The electrostatic chuck that is used for semiconductor workpiece
The cross reference of related application
The non-temporary patent application of this part requires the U.S. Patent application No.60/674 that submitted on April 21st, 2005, and 155 priority, this application are for any purpose by reference and integral body is incorporated into this.
Technical field
The present invention relates generally to the semiconductor processing equipment field.More particularly, the present invention relates in the semiconductor processes operation, semiconductor workpiece be carried out the method and apparatus of clamping and heating.
Background technology
From introducing semiconductor device decades ago at first, the outward appearance of semiconductor device reduces aspect size greatly.Because the outward appearance of device has become tightr, so the spacing between the device element is reducing.The minimum feature of using the semiconductor lithography system to obtain is sometimes referred to as critical dimension (CD), and has reduced along with the time.
Offset printing or photoetching generally are illustrated in the processing of shifting pattern between mask layer and the Semiconductor substrate.Be used for the offset printing processing that semiconductor device is made, applying the light-sensitive material that is called photoresist equably in the concentrating type machine, for silicon substrate.Scanner/stepper machine choice ground with resist exposure in the electromagnetic radiation of certain form to produce circuit pattern, described circuit pattern is corresponding to the individual course of the integrated circuit that will form on substrate surface (IC) device.Usually, come photoresist film is carried out the selectivity exposure with mask layer, mask layer produces the part incident radiation and preferentially stops.Depend on used photoresist type, that part of photoresist film that is exposed to incident radiation becomes solubility more or less.Development step is dissolved the solubility zone that has more of photoresist film, and produces the photoresist layer through patterning, and this layer is corresponding to mask layer used in the exposure-processed.
Affect CD on the substrate in the precision of on the Semiconductor substrate pattern being developed, and influence device performance probably.The overdevelop meeting causes live width to increase, and the underdevelop part photoresist layer that may cause is not removed as the expectation situation.
In above-mentioned photoresist is handled, may need workpiece is carried out heating and cooling.This heating and cooling are normally finished by the back side contact hot gas that makes workpiece.Specifically, traditional machine relies on the spacer that highly is at least about 100 μ m or bearing (stand-off) to keep gap between the hot substrate of wafer and below, and gas is present in this gap.According to this approach, gravity and thermal stress have determined the flatness of wafer and the wafer depth of parallelism to hot substrate.
But, only depend on gravity and thermal stress and determine that the wafer flatness may be not enough to guarantee on the whole zone of workpiece, the even control in whole temperature range.Specifically, the little change of spacing may make the bigger temperature heterogeneity of appearance in hot/cold or the cold/heat transition process between the hot substrate of workpiece and below.The change that this temperature heterogeneity can then cause photoresist not expect in handling impacts the work of the active electronic device made on structural integrity and the same workpiece.
Therefore, this area needs improved system and method to keep semiconductor workpiece in processing procedure.
Summary of the invention
According to the present invention, provide the technology relevant with the semiconductor processing equipment field.More particularly, the present invention relates to be used for semiconductor workpiece is carried out the method and apparatus of clamping and heating.Only as example, this method and apparatus is used for semiconductor workpiece is heated in the processing procedure that material carries out with photoresist.But should be understood that the present invention has much wide range of application.
A kind of embodiment that is used for the device of semiconductor workpiece according to the present invention is characterised in that: stratie and electrostatic clamp element are integrated in the hot radical seat.Heating element that these are integrated and clamping element kept the wafer flatness and hold workpiece and chuck between the uniformity in gap, below of hot gas.According to an embodiment of the present, the wafer heater of stacked Kapton is installed to hot surface top under the wafer.Isolate at least two voltage regime in the heater,, between heating element and wafer, produce chucking power with in that wafer is touched under the situation of electric conductor.These voltage regime can produce with independent conducting element, also can be by comprising that applying the DC bias voltage on the zone of stratie produces.
A kind of embodiment according to semiconductor workpiece chuck of the present invention comprises: comprise the upper surface of dielectric substance, and the rack characteristic that extends to the projection of the one section height in upper surface top.At least two electrodes embed in the dielectric substance, and these at least two electrodes are arranged to the antipole electric connection with voltage source.Chuck also comprises stratie, and stratie is separated by dielectric with electrode, and stratie is arranged to and the second voltage source electric connection.
A kind of embodiment that is used for device that semiconductor workpiece is handled according to the present invention comprises process chamber and hot radical seat, and process chamber comprises the wall that has held the hot radical seat, and the hot radical seat comprises and is used to passage that the circulation heat-transfer fluid is flowed.Chuck is arranged to be positioned on the hot radical seat.Chuck comprises rack characteristic and a plurality of electrode of upper surface, a plurality of projections, upper surface comprises dielectric substance, the rack characteristic of projection extends to the one section height in upper surface top, and electrode embeds in the dielectric substance and is arranged to antipole electric connection with voltage source.Stratie and electrode are opened by dielectric isolation, and stratie is arranged to and the second voltage source electric connection.Temperature sensor is positioned on the upper surface of chuck.
A kind of embodiment that is used to handle the method for semiconductor workpiece according to the present invention comprises the rack characteristic that semiconductor workpiece is placed on a plurality of projections, and the rack characteristic of these projections is from the dielectric upper surface projection of chuck.First potential difference is applied to a pair of bipolar electrode that embeds in the dielectric substance, attracts chucking power to produce between workpiece and chuck.Second potential difference is applied to the interior stratie of chuck with heated parts.Detect the temperature of workpiece, and when detecting target temperature, interrupt applying second potential difference.
Below literal combine clear in more detail these and other embodiment and advantage and feature of the present invention with accompanying drawing.
Description of drawings
Fig. 1 is the vertical view according to a kind of embodiment of even glue developing lithographic press of an embodiment of the present invention.
Fig. 2 is the rough schematic view of the developer end-point detecting system of a kind of specific embodiment according to the present invention.
Fig. 3 A illustrates the flow chart that is used for the treatment process of Semiconductor substrate according to an embodiment of the present invention.
Fig. 3 B is the flow chart that illustrates a kind of method that the developer terminal point is detected according to an embodiment of the present invention.
Fig. 4 is the rough schematic view according to a kind of alternative embodiment developer end-point detecting system of the present invention.
Fig. 5 is the simplified cross-sectional view according to the device of an embodiment of the present invention.
Fig. 6 a-6b shows the rough schematic view that produces electrostatic clamp power between wafer and an embodiment of the present invention.
Fig. 7 is the simplified timing diagram that illustrates according to the operation of a kind of embodiment of device of the present invention.
Fig. 8 is the simplification stereogram according to the device of an embodiment of the present invention.
Embodiment
According to the present invention, provide the technology relevant with the semiconductor processing equipment field.A kind of specific embodiment according to the present invention relates to material processed semiconductor workpiece with photoresist.Only, this method and apparatus is used for handling with photoresist semiconductor workpiece as a kind of example.But should be understood that the present invention has much wide application.
Fig. 1 is the vertical view of 10 1 kinds of embodiment of even glue developing (track) lithographic press, and developer end-point detecting system of the present invention can be used for this machine 10.As shown in Figure 1, a kind of embodiment of even glue developing lithographic press 10 comprises front-end module (being sometimes referred to as factor interface) 50, central module 150 and rear module (being sometimes referred to as scanner interface) 190.Front-end module 50 generally comprises one or more brilliant boat (pod) assemblies or FOUP 105 (for example article 105A-D), front end robot 108 and front-end processing frame 52.Central module 150 generally comprises first central authorities and handles frame 152, second central authorities' processing frame 154 and the central robot 107.Rear module 190 generally comprises back-end processing frame 192 and rear robot 109.In one embodiment, even glue developing lithographic press 10 comprises: front end robot 108 is suitable for the processing module in visit (access) front-end processing frame 52; Central robot 107 is suitable for visiting the processing module in front-end processing frame 52, first central authorities' processing frame 152 and second central authorities' processing frame 154 and/or the back-end processing frame 192; And rear robot 109, be suitable for access back end and handle the processing module in the frame 192 and exchange substrates with stepper/scanner 5 in some cases.In one embodiment, transmit substrate between two or more adjacent processing modules that shuttle robot is suitable for comprising in one or more processing framves (for example front-end processing frame 52, first central authorities handle frame 152 etc.).In one embodiment, control near the front end robot 108 environment and the environment between brilliant case assembly 105 and the front-end processing frame 52 with front end shell 104.
Fig. 1 also comprises more concrete conditions of process chamber configurations available in the various aspects of the present invention.For example, front-end module 50 generally comprises one or more brilliant boat assemblies or FOUP 105, front end robot 108 and front-end processing frame 52.These one or more brilliant boat assemblies 105 generally are suitable for receiving one or more brilliant boxes (cassette) 106, and brilliant box 106 can comprise one or more substrates " W " or the wafer that will handle in even glue developing lithographic press 10.Front-end processing frame 52 comprises a plurality of processing modules (for example bake plate 90, coldplate 80 etc.), and these processing modules are suitable for carrying out the various treatment steps in the substrate processing operation.In one embodiment, front end robot 108 is suitable for transmitting substrate between the brilliant box in being installed on brilliant boat assembly 105, and transmits substrate between the one or more processing modules that keep in front-end processing frame 52.
Central module 150 generally comprises central robot 107, first central authorities handle frame 152 and second central authorities handle frame 154.First central authorities handle frame 152 and second central authorities and handle frame 154 and comprise various processing modules (for example having the coating agent/developer module, bake module 90, coldplate 80 of shared distributing equipment 370 etc.), and these processing modules are suitable for carrying out the various treatment steps in the substrate processing operation.In one embodiment, central robot 107 is suitable for handling frame 152 in front-end processing frame 52, first central authorities, second central authorities handle between frame 154 and/or the back-end processing frame 192 and transmit substrate.In one aspect, central robot 107 first central authorities in central module 150 handle the middle position between frame 152 and second central authorities processing frame 154.
Rear module 190 generally comprises rear robot 109 and back-end processing frame 192.Back-end processing frame 192 generally comprises processing module (for example coating agent/developer module 60, bake module 90, coldplate 80 etc.), and these processing modules are suitable for carrying out the various treatment steps in the substrate processing operation.In one embodiment, rear robot 109 is suitable for transmitting substrate between back-end processing frame 190 and stepper/scanner 5.Stepper/scanner 5 can be from San Jose, the Canon USA of CA, Inc., the Nikon Precision Inc. of Belmont CA or the ASML US of Tempe Arizona, Inc. has bought, and is used offset printing projection arrangement during for example integrated circuit (IC) is made.Stepper/scanner instrument 5 will be deposited on electromagnetic radiation that light-sensitive material (photoresist) on the substrate is exposed to certain form to produce circuit pattern in the concentrating type machine, described circuit pattern is corresponding to the individual course of the integrated circuit that will form on substrate surface (IC) device.
In one embodiment, the processing of controlling parts all in the concentrating type machine 10 and wherein carrying out with system controller 101.Controller 101 generally is suitable for communicating by letter with stepper/scanner 5, the various aspects of processing performed in the concentrating type machine 10 are monitored and control, and is suitable for all aspects of finishing the substrate processing operation are controlled.Controller 101 is normally based on the controller of microprocessor, and it is configured to various transducers from one of user and/or process chamber and receives the software instruction of preserving in input and the memory according to described various inputs and controller and come suitably control and treatment chamber component.Controller 101 comprises memory and CPU (not shown) usually, controller with they preserve various programs, to program handle, and executive program when needed.The memory (not shown) is connected to CPU, and can be one or more easy-to-use memories, any other form of random-access memory (ram), read-only memory (ROM), floppy disk, hard disk or data memory device (can be local also can be long-range) for example.Can be in memory software instruction and data be encoded and stored, be used for CPU is instructed.The auxiliary circuit (not shown) also is connected to CPU, is used for auxiliary processor in a usual manner.Auxiliary circuit can comprise buffer well known in the art, power supply, clock circuit, input/output circuitry, subsystem etc.Controller 101 readable programs (or computer instruction) are determined executable task in one or more process chambers.Preferably, program is the readable software of controller 101, and comprises according to the rule that is limited and input data and come instruction that processing is monitored and controls.
Fig. 1 also illustrates coating agent/developer module, and it has the shared distributing equipment 370 that is installed in second central authorities processing frame 154, and can be suitable for carrying out in two process chambers 110 and 111 photoresist applying step or development step.The advantage of this structure is that it makes some used in two process chambers 110 and 111 same parts can be shared, takes up an area of thereby reduced system cost, complexity and machine.More detailed description as shown in Figure 1 and hereinafter, two rotary chucks 130 and 131 are located at respectively in process chamber 110 and 111.Shared central fluid distribution library (bank) 112 is between two process chambers, and dispense arm assembly 118 can be selected nozzle and be two rotary chuck services from the central fluid distribution library.Central robot 107 shown in Figure 1 can be carried out independent access to two process chambers 110 and 111.
Fig. 2 is the rough schematic view of the developer end-point detecting system of a kind of specific embodiment according to the present invention.The developer end-point detecting system that embodiments of the invention provide generally is located in coating agent/developer module 60 or has in the coating agent/developer module of shared distributing equipment 370.As described below, be positioned at the position of developer module substrate plane top according to the element of developer end-point detecting system of the present invention.Only as example, in a kind of specific embodiment, optical element is installed in the opposite upper corner of processing module or process chamber.Certainly, those of ordinary skills can expect many changes, modification and replacement form.
Can understand as those skilled in the art, according to system of the present invention not necessarily each element all be positioned at developer module or indoor, for example, can the light radiation that external source produces be communicated to developer module, perhaps be communicated to and carry out other chambers that end point determination is measured by optical fiber cable.In addition, describe in detail more in conjunction with base line measurement as following, the developer end-point detecting system that embodiments of the invention provided can be included in the process chamber except coating agent/developer module.In addition, although in a kind of specific embodiment, method and system of the present invention is used to the occasion relevant with the offset printing development treatment, the invention is not restricted to such application.In interchangeable embodiment, also comprise other development treatment in the scope of the present invention.
Fig. 2 provides the end view of an embodiment of the present invention, as shown in Figure 2, is provided with stayed surface 210, and substrate 212 is installed on the stayed surface.Although the not shown substrate " W " that is installed in module 60 or 370 of Fig. 1, those skilled in the art can understand that in each stage of handling, substrate is arranged in coating/visualization module.Usually, substrate is the semiconductor wafer the processing stage of being in.In certain embodiments, stayed surface is the electrostatic chuck that is coupled to the driving mechanism (not shown), and it is suitable for making substrate 212 vertical translations and makes the substrate rotation.In interchangeable embodiment, stayed surface 210 is vacuum chucks.Those of ordinary skills can expect many changes, modification and replacement form.
As shown in Figure 2, substrate 212 is carried out patterning and be distributed in several device feature 214 on the substrate surface with formation.Usually, the IC that makes on device feature and the substrate is relevant.As well known to those skilled in the art, the processing of making IC can comprise that more than 100 steps, many in these steps is photoetching treatment.Therefore, although Fig. 2 illustrates one group of single on substrate surface device feature 214 simply, be appreciated that this accompanying drawing illustrates such the processing stage, may carry out patterning to several layers in this stage on substrate.In addition, may on substrate surface, carry out patterning to extra layer.Fig. 2 does not draw in proportion, because generally, the device feature relevant with the IC that makes on the substrate can not clearly be differentiated under without the situation of microtechnic at micron or sub-micrometer scale yardstick.
Light source 230 produces light beam 232, and light beam 232 is directed to the surface of substrate 212.In Fig. 2, light beam is illustrated as collimated light beam, uses the optical system (not shown) to be provided at the yardstick that the substrate surface place needs among some embodiment.In one embodiment, the area that is projected on the substrate surface from laser 230 emitted light beams is defined as area of detection 234.In one embodiment, the size of change or control detection area is so that the noisiness that comprises in the detected signal minimizes.Noise in the detection signal can be that the pattern contour seen owing to area of detection in the processing procedure changes and produces.Therefore, in one embodiment, light beam is expanded bundle and collimates so that several different device feature are exposed.In other embodiments, light beam is focused on to reduce diameter, collimate then so that expose with 232 pairs of fewer purpose device feature of light beam.Certainly, selected concrete light beam yardstick can depend on different applicable cases.
Generally, light source 230 is tunable single long wavelength lasers, but that this is not the present invention is necessary.In alternative embodiment, light source is other narrow-band light source of discharge lamp or process selection aspect output wavelength and spectral bandwidth.In alternative embodiment, light source 230 is one group of single-frequency laser source, and these lasing light emitters are combined to produce single multispectral light beam.Those of ordinary skills can expect many changes, modification and replacement form.Illustrate in greater detail as following, multispectral light beam can the enhanced system performance, and no matter this light beam is that produce successively with tunable optical source or produced simultaneously with one or more lasers.
As shown in Figure 2, developer pond (puddle) 216 is illustrated on the substrate surface, and mixes with device feature 214.Although developer shown in Figure 2 pond 216 is in the level lower than the upper surface of device feature, this is also inessential for the purpose of the present invention.Understand as those skilled in the art, can under the situation thicker of developer pond, use embodiments of the invention than the device feature that is developed.Light beam 232 shines on the surface in device feature and developer pond, and from defining the boundary reflection on border between device feature and the developer pond.
In addition, light beam reflects when entering the developer pond, subsequently from the device feature reflection that is immersed in developer pond below and in the developer pond/and the air interface refraction.In addition, light beam is also by the feature of light beam wavelength magnitude institute diffraction.For many submicron order device feature, can cause significant beam diffraction.In Fig. 2, these complicated two-phonon process are by light beam 220,222 and 224 expressions.Those of ordinary skills can understand, according to direct reflection and the shared ratio of diffuse reflection, owing to can cause the scattering radiation awl usually from the beam divergence that surface diffuse reflectance caused.In addition, the repeatedly reflection from layer and interface also can produce interference figure and other optical phenomenas.Clear in order to illustrate, these phenomenons are integrated in the simple light beam 220, still should be understood that to utilize the optical system (not shown) collecting, collimate and/or be imaged onto on detector 240,242 and 244 from the substrate surface radiation reflected usually.
Therefore in one embodiment, detector 240 is oriented the principal reflection of reception from the surface, aims at (for example, the absolute value with respect to the incidence angle on surface is identical with light beam 232) with incident beam.In exposure and development treatment, because the interference between the pattern that forms in illumination beam and the photoresist, the detected radiation intensity in detector 240 places can and then change along with the preceding of development step.In one embodiment, when developer makes the soluble fraction dissolving of photoresist during development treatment, produced the Strength Changes of detector 240 detected reflected radiations, produced the same pattern of pattern that causes with " grating " formula feature thus, thereby produced interference by illumination beam.Therefore, caused the scattering of illumination beam with the interference of photoresist pattern, scattering makes the detected principal reflection in detector 240 places reduce.In one embodiment, the reflected intensity that records at detector 240 changes when being gradually to zero, detects the developer terminal point.
Although in certain embodiments, device feature is owing to the light beam 232 that shines substrate surface has formed " grating " formula diffraction pattern, but being embodiments of the invention, this does not need " diffraction grating ", described diffraction grating is defined as the repeat array of diffraction element (no matter being aperture or barrier), and described array has in the phase place of transmitted wave or amplitude or not only in phase place but also producing periodically variable effect aspect the amplitude.In certain embodiments, the diffraction grating feature that limits with photolithographicallpatterned can be set on substrate surface, in the broader sense, the practical structures of various device feature (for example sub-micron features) has produced diffraction of light.Therefore, embodiments of the invention had both comprised traditional diffraction grating, also comprised the diffracting effect that is caused by the practical devices feature.
In one embodiment, the acutance that replaces single wavelength laser more easily to detect the photoresist pattern with tunable laser is carried out along with development treatment and the change that takes place.Amount of interference can depend on the size of formed " grating " and the wavelength of incident radiation.In another kind of embodiment, detect zero order reflection and the higher order of diffraction time with several detectors (for example 240,242 and 244).As shown in Figure 2, detector 242 detects the first-order diffraction light beam of wavelength X 1, and detector 244 detects the first-order diffraction of wavelength X 2.Be used to detect the first-order diffraction light beam although illustrate two detectors 242 and 244, in alternative embodiment, utilize one dimension or two-dimensional detector array to detect the one-level light beam, described array is two-dimensional charge coupled apparatus (CCD) array for example.Endpoint detection process comprises at different diffraction level time scattering/diffraction and the intensity drift to reflected radiation and monitoring.Those of ordinary skills can expect many changes, modification and replacement form.In order to prevent to produce noise by reflection during the development treatment, can prevent that the direct reflection of this layer from arriving detector with slit from the developer pond institute radiation emitted on the substrate surface.
In the above description, it is inferior to detect master (primary) order of diffraction relevant with a plurality of wavelength with a plurality of detectors.Even those skilled in the art are appreciated that for monochromatic source that by the research grating equation pattern with a plurality of cycles also can produce the diffracted beam that is oriented to a plurality of angles.Therefore, in certain embodiments of the present invention, detect from the light beam of substrate surface with two angle diffraction with detector 242 and 244.Can certainly adopt the two-dimensional CCD array.Those skilled in the art can understand, usually, the diffraction pattern that produces in the detector plane can be these two a function of the spectral component of incident radiation and the periodicity that is patterned in the surface to be showed.Therefore in certain embodiments, can provide the analytic function that has comprised these complex situations.
In certain embodiments, substrate rotates (spin) during development treatment.Therefore, in a kind of specific embodiment of the present invention, from the light of area of detection reflection and diffraction along with substrate has been carried out time average with respect to the rotation of light beam.In this specific embodiment, carried out " piece (bulk) " and measured or average measurement, this measurement corresponding to those parts of substrate and device feature as the function of time and inswept light beam.
The flowchart illustrations of Fig. 3 A be used for the treatment process of Semiconductor substrate according to an embodiment of the present.Fig. 3 A illustrates a kind of embodiment of serial of methods step 300, and this method step can be used for the photoresist material layer that forms on the substrate surface is deposited, exposes and develops.Offset printing is handled can comprise following content usually: the step 310 that substrate is sent to coating module, bottom antireflective coating (BARC) applying step 312, back BARC baking procedure 314, back BARC cooling step 316, photoresist applying step 318, back photoresist baking procedure 320, back photoresist cooling step 322, the optical edge sphere is removed (OEBR) step 324, step of exposure 326, post exposure bake (PEB) step 328, post exposure bake cooling step 330, development step 332, back development cooling step 334, and the step 336 that substrate is sent to brilliant boat.In other embodiments, under the situation that does not break away from base region of the present invention, the operation of method step 330 can rearrange, changes, can remove one or more steps or also two or more steps can be merged into single step.
In step 310, Semiconductor substrate is sent to coating module.With reference to figure 1, the step that substrate is sent to coating module 310 is normally defined such processing: make front end robot 108 remove substrate from the brilliant box 106 that is arranged in one of brilliant boat assembly 105.The brilliant box 106 that includes one or more substrates " W " is placed on the brilliant boat assembly 105 by user or certain external equipment (not shown), substrate can be handled by user-defined substrate processing operation in concentrating type machine 10, and described operation is controlled by the software of preserving in the system controller 101.
BARC applying step 310 is to be used for the step of on substrate surface depositing organic material.The BARC layer is organic coating normally, and it was applied on the substrate before the photoresist layer absorbing light, otherwise during the step of exposure of carrying out in stepper/scanner 5 326, described light can be from substrate surface reflected back photoetching glue.If do not stop these reflections, will in photoresist layer, set up standing wave, cause characteristic size to depend on the local thickness of photoresist layer and between diverse location, change.Can also the substrate surface profile be flattened (or complanation) with the BARC layer, after finishing a plurality of electronic device fabrication steps, all can have described surface profile usually.The BARC material be filled in feature around and the top be used to apply the flat surfaces of photoresist with generation, and reduced the localized variation of photoresist thickness.BARC applying step 310 is normally carried out with traditional spin coating photoresist allocation process, in this is handled, when making the substrate rotation, a certain amount of BARC material is deposited on the substrate surface, thereby makes the solvent evaporation in the BARC material and the material behavior of the BARC material that is deposited is changed.Usually air velocity in the BARC process chamber and exhaust flow velocity are controlled, with the characteristic of formed layer on control solvent vaporization process and the substrate surface.
Back BARC baking procedure 314 is such steps: remove all solvents in the BARC layer that it is used for guaranteeing to be deposited from BARC applying step 312, and promote the BARC layer to adhere to substrate surface in some cases.The temperature of back BARC baking procedure 314 depends on the BARC type of material that deposits on the substrate surface, but usually less than about 250 ℃.The 314 required times of BARC baking procedure can be depended on the underlayer temperature during the BARC baking procedure of back after finishing, but usually less than about 60 seconds.
Back BARC cooling step 316 is such steps: it is used for controlling and to guarantee that substrate is in the time that is higher than ambient temperature situations constant, thereby so that each substrate has identical time-temperature curve and makes handles change and minimize.Therefore the change of BARC processing time-temperature curve is the part of the wafer history of substrate, may the rete characteristic that be deposited be impacted, and often it is controlled so that handles to change and minimize.Back BARC cooling step 316 is commonly used to after back BARC baking procedure 314 substrate is cooled to equal or near the temperature of ambient temperature.The 316 required times of BARC cooling step can be depended on the underlayer temperature that comes out from back BARC baking procedure after finishing, but usually less than about 30 seconds.
Photoresist applying step 318 is that photoresist layer is coated in step used on the substrate surface.The photoresist layer that is deposited during photoresist applying step 318 is photosensitive organic thing coating normally, and they are applied on the substrate and are exposed in stepper/scanner 5 to form patterned features on substrate surface subsequently.Photoresist applying step 318 is carried out with traditional spin coating photoresist allocation process usually, in this is handled, when making the substrate rotation, a certain amount of photoresist material is deposited on the substrate surface, thereby makes the solvent evaporation in the photoresist material that the material behavior of the photoresist layer that is deposited is changed.Air velocity in the photoresist process chamber and exhaust flow velocity are controlled, with the characteristic of formed layer on control solvent vaporization process and the substrate.In some cases, may need to come the solvent partial pressure of substrate top is controlled, in the photoresist applying step, to control the evaporation of solvent from photoresist by control exhaust flow velocity and/or by near the solvent the injection substrate surface.With reference to figure 1, in a kind of exemplary photoetching glue coating is handled, at first substrate is placed on the wafer chuck 131 of coating agent/developer module 370.Motor makes the rotation of wafer chuck 131 and substrate, simultaneously photoresist is assigned to substrate in the heart.Rotation has caused the angle torque to photoresist, forces photoresist radially to go out and finally cover substrate.
Back photoresist baking procedure 320 is such steps: remove all solvents in the photoresist layer that it is used for guaranteeing to be deposited from photoresist applying step 318, and promote photoresist layer to adhere to the BARC layer in some cases.The temperature of back photoresist baking procedure 320 depends on the photoresist material type that deposits on the substrate surface, but can be lower than 250 ℃ usually.The 320 required times of photoresist baking procedure can be depended on the underlayer temperature during the photoresist baking procedure of back after finishing, but usually can be less than about 60 seconds.
Back photoresist cooling step 322 is such steps: it is used for controlling substrate and is in the time that is higher than ambient temperature situations, thereby so that each substrate has identical time-Temperature Distribution and the processing change is minimized.The change of time-Temperature Distribution may impact the characteristic of the rete that deposited, therefore often it is controlled so that handles to change and minimize.Therefore, be cooled to equal with the temperature of back photoresist cooling step 322 substrate after will back photoresist baking procedure 320 or near the temperature of ambient temperature.The 322 required times of photoresist cooling step can be depended on the underlayer temperature that comes out from back photoresist baking procedure after finishing, but usually less than about 30 seconds.
Optical edge sphere removal (OEBR) step 324 is such processing: one or more photosensitive photoresist layers (the BARC layer that forms during layer that for example forms during the photoresist applying step 318 and the BARC applying step 312) that it is used for being deposited are exposed to the radiation source (not shown), removing the edge exclusion (edge exclusion) that any one or two kinds of layers also can be controlled the layer that is deposited more equably from edges of substrate.Can depend on the BARC layer that deposits on the substrate surface and the type of photoresist layer to the expose wavelength of used radiation and intensity of substrate surface.For example can be from CA, the USHIO America of Cypress, Inc. has bought the OEBR machine.
Step of exposure 326 is offset printing projection step of being used by offset printing projection arrangement (for example the stepper scanner 5), is used to form to make the used pattern of integrated circuit (IC).Step of exposure 326 is by being exposed to the electromagnetic radiation of certain form with light-sensitive material (for example BARC layer that forms during photoresist layer that forms during the photoresist applying step 318 and the BARC applying step 312), thereby forms the independent layer corresponding circuit pattern with integrated circuit (IC) device on substrate surface.
Post exposure bake (PEB) step 328 is such steps: it is used for and then substrate being heated after step of exposure 326, with the diffusion that encourages one or more light-sensitive compounds and reduce standing wave effect in the photoresist layer.For the photoresist that chemistry amplifies, the PEB step also causes the catalyzed chemical reaction of the solubility change that makes photoresist.Temperature control during the PEB is very crucial for critical dimension (CD) control usually.The temperature of PEB step 328 depends on the photoresist type of material that deposits on the substrate, but usually less than about 250 ℃.Finish the underlayer temperature during the required time of PEB step 328 can be depended on the PEB step, but usually less than about 60 seconds.
Post exposure bake (PEB) cooling step 330 is such steps: it is used for controlling guarantees that substrate is in the time that is higher than ambient temperature and is controlled, and handles change and minimizes thereby make each substrate that identical time-Temperature Distribution be arranged and make.PEB handle time-the Temperature Distribution change may influence the rete characteristic that is deposited, therefore usually it is controlled so that handles to change and minimize.Therefore after PEB step 328, substrate is cooled to equal or near the temperature of ambient temperature with the temperature of PEB cooling step 330.Finishing the 330 required times of PEB cooling step can depend on the underlayer temperature that comes out from the PEB step, but usually less than about 30 seconds.
Development step 332 is such processing: wherein, give exposure with solvent or unexposed photoresist layer and BARC layer cause change chemistry or physics, to expose the pattern that forms during the exposure-processed step 326.Development treatment can be that handle in the spraying processing, submergence processing or the developer pond that are used for distributing developer solution.In some development treatment, before the coating developer solution, give substrate coating fluid layer (normally deionized water) and in development treatment, make it rotation.Applying developer solution subsequently makes developer be coated on the substrate surface equably.In step 334, provide cleaning solution to finish development treatment to substrate surface.Only as example, cleaning solution can be a deionized water.In alternative embodiment, provide the cleaning solution that becomes with combinations-of surfactants by deionized water.Those of ordinary skills can expect many changes, modification and replacement form.
After development step 332 and cleaning step 334, cool off at step 336 pair substrate.In step 338, substrate is sent to brilliant boat, finish this treatment process then.In step 338 substrate is sent to brilliant boat and need makes front end robot 108 send substrate the processing of brilliant box 106 back to usually, brilliant box 106 is arranged in one of brilliant boat assembly 105.
In discussion, for clarity sake, generally all omitted the situation that transmits substrate from the different chamber of even glue developing lithographic press 10 to other chambers to above-mentioned treatment process.Those skilled in the art can understand and use some transfer robots to finish various transmission between the suitable chamber.
The flowchart illustrations of Fig. 3 B according to an embodiment of the present invention, the method 345 that the developer terminal point is detected.In step 350, with the device area of the first beam lighting substrate.In certain embodiments of the present invention, step 350 is to take place before the stage in current development treatment.Therefore, for some product substrate, can exist on the device from the pattern of step before this.For the substrate that has the pattern that develops before this, step 350 is to take place before the pattern to new exposure develops beginning.In step 352, detect the baseline optical signal by collecting from the radiation of substrate surface scattering.As previously mentioned, submicron order pattern relevant with the IC feature and that be present on the device surface can make light reflection and diffraction.In addition, be present in the refraction that light can take place at the interface between lip-deep fluid and the device feature, and produce the profile of reflection measurement aspect and/or scatterometry aspect.
In an embodiment of the present invention, the baseline optical signal that detects in the step 352 is that collect at any one place in the several stages of treatment process 300 shown in Fig. 3 A.In some of these embodiment, before the development treatment that the certain layer that is developed is begun in the step 332 the processing stage detect the baseline optical signal.In addition, in certain embodiments, in one of several process chambers, detect the baseline optical signal.For example, the method and apparatus according to the embodiment of the invention can be located in coating chamber, the baking vessel etc.Only, can collect the baseline optical signal in the following cases as example:
Situation before the photoresist---in step 318 with photoresist before the coated substrates.The below pattern that causes of pre-treatment step can be determined background signal thus.Before adopting PR applying step 318, collect among the embodiment of baseline optical signal, in the visualization module that substrate can be transmitted, the developer end-point detecting system of with good grounds an embodiment of the present invention in the visualization module.Perhaps, other embodiment of the present invention also can provide a part of developer end-point detecting system or complete developer end-point detecting system in the coating module of carrying out PR coating processing.Before handling 318, the PR coating collects the baseline optical signal thus.
The situation of post-exposure---in step 326 in scanner to after the photoresist pattern exposure.Experiment shows: have sub-image after exposure, thereby produced the different background signal of collecting afterwards with the photoresist applying step of signal.It to a kind of explanation that has sub-image the layer of the below that produces in the treatment step that exists before this.The another kind of sub-image is explained that to be the exposure photon caused the composition of photoresist different along with exposure dose with reaction between the photoresist.Although these theories provide support for describing experiment of the present invention, the present invention is not subjected to these interpretation.Therefore, in certain embodiments, after the exposure in step 326, collect the baseline optical signal in the module by substrate is sent to, described module comprises according to the part of the developer end-point detecting system of the embodiment of the invention or complete developer end-point detecting system.
Situation after the post exposure bake---after in step 328, the substrate through exposure being toasted, strengthen with the chemistry that activates the photoresist layer exposed.Experiment shows, has sub-image after post exposure bake (PEB) step, thereby has produced the different background signal of collecting afterwards with the photoresist applying step of signal.As what discuss in conjunction with the measurement of carrying out after the step of exposure, the reaction that exposure photon and the photoresist that is subjected to optical activation carry out is subjected to the enhancing of PEB step.Amplified by baking procedure through the photoresist of exposure and the component difference between the unexposed photoresist, brought extra contrast to sub-image.
Situation behind the substrate coating---in some development treatment, before the coating developer solution, with fluid layer (normally deionized water) coated substrates.
After substrate coating, collect among the embodiment of background signal, in developer module, measure, thereby substrate needn't be moved between base line measurement and terminal point measurement.
In step 354, with the device area of the second beam lighting substrate.In certain embodiments, first light beam and second light beam are produced by same laser.In the case, first light beam and second light beam be conllinear normally, and is projected onto identical surveyed area successively.Measure after substrate coating among the embodiment of baseline optical signal, measure in the process of these two in base line measurement and terminal point, substrate is usually located at same position.Stage before exposure and development treatment carries out among the embodiment of base line measurement, provides before illumination substrate is carried out directed method and system, thereby has made the Systems Operator can produce repeatably result.
In step 356, from the device area endpoint detection optical signalling of substrate.As described in conjunction with Fig. 2, it is inferior to adopt one or more detectors to detect from one or more orders of diffraction of substrate surface reflection, diffraction and scattering in different embodiments of the invention.
In certain embodiments, first light beam and second light beam can be the multispectral light beams that contains several different wave length components.In other embodiments, produce light beam with tunable laser, described light beam produces the different wave length that changes along with the time.Under the situation of tunable laser, can come along with the time changes a plurality of baseline optical signals of collection and a plurality of terminal point optical signalling with different detectors shown in Figure 2.The diffracting effect that utilizes tunable optical source, changes with wavelength and the combination of two-dimensional CCD array, those of ordinary skills can expect many changes, modification and replacement form.
In step 358, adopt the algorithm that is suitable for relatively working to come baseline optical signal and terminal point optical signalling are compared.According to this comparison step, determine the developer terminal point in step 360.In one embodiment, for example, light beam 220 intensity at detector for measuring 240 places during development treatment, and itself and the base line measurement carried out with detector 240 compared.Along with the carrying out of development treatment, endpoint signal can change.In certain embodiments, endpoint signal can change during development treatment and stablize along with arriving the developer terminal point.In certain embodiments, the analysis of detection signal is comprised that the spectral component that the detector place is received tests, and in other embodiments, determine the developer terminal point with single wavelength.
When detecting the developer terminal point, the control system (not shown) provides feedback to developing room, makes cleaning solution is discharged on the substrate surface.In a kind of specific embodiment, provide the cleaning solution of deionized water to finish development step to substrate.In alternative embodiment, provide the cleaning solution that becomes with combinations-of surfactants by deionized water.Those of ordinary skills can expect many changes, modification and replacement form.
Fig. 4 is the rough schematic view of the developer end-point detecting system of a kind of alternative embodiment according to the present invention.Fig. 4 and Fig. 2 have some similarity, and therefore for the sake of brevity, the explanation of being carried out with reference to figure 2 is enough to describe the element of Fig. 4.In Fig. 4, light source 430 can be single frequency laser or tunable laser, and produces light beam 432, and light beam 432 is directed to the surface of substrate 412.Substrate is supported on the chuck 410.
As shown in Figure 4, mix on substrate surface and with device feature 414 in shown developer pond 416.Although developer shown in Figure 4 pond 416 is in the plane lower than the upper surface of device feature, this is not that the present invention is necessary.As will be understood by the skilled person in the art, can under the situation thicker of developer pond, adopt embodiments of the invention than the device feature that just is being developed.Light beam 432 shines on the surface in device feature and developer pond, and from boundary reflection, the border in device feature and developer pond has been divided at described interface.
In addition, light beam reflects when entering the developer pond, subsequently from the device feature reflection that is immersed in developer pond below and in the developer pond/and the air interface refraction.In addition, light beam is also by the feature of light beam wavelength magnitude institute diffraction.For many submicron order device feature, can cause significant beam diffraction.In Fig. 4, these complicated optical treatment are by light beam 420,422 and 424 expressions.Those of ordinary skills can understand, according to direct reflection and the shared ratio of diffuse reflection, owing to can cause the scattering radiation awl usually from the beam divergence that surface diffuse reflectance caused.In addition, the repeatedly reflection from layer and interface also can produce interference figure and other optical phenomenas.Clear in order to illustrate, these phenomenons are integrated in the simple light beam 420, still should be understood that to utilize the optical system (not shown) collecting, collimate and/or be imaged onto on detector 440,442 and 444 from the substrate surface radiation reflected usually.
Fig. 4 also illustrates second laser 460, beam splitter 462 and detector 464.In certain embodiments, second laser 460 produces light beams, and described light beam shines on the detector region 470 along propagating with the line of the Surface Vertical of substrate 412.As described below, second laser, beam splitter and detector 464 are used for ACTIVE CONTROL is carried out in the change on developer fluid surface.In certain embodiments, external vibration and other influences are introduced disturbance on the surface of developer solution, and the surface local ground of developer is changed from the required plane surface parallel with substrate surface.Use system shown in Figure 4, the change on developer fluid surface can cause along the path 466 beam reflected from departing from straight line from the incoming laser beam conllinear of laser 460.For example, if the developer surface is tilted to the right, then folded light beam can to the line right side deflection of developer surface quadrature, above the line that draws between beam splitter 462 and the detector 464, produce the detection spot.To be used to as described below active mirrors be driven to the measurement of this beam deflection.
Active mirrors 450,452 and 454 is located in the system shown in Figure 4, and is used for the part change on developer fluid surface is proofreaied and correct.Measure the change on developer surface along with the form of sentencing beam deflection at detector 464, the control system (not shown) provides income, and activate active mirrors 450,452 and 454, thereby offset light beam 420,422 and 424 because the part on developer surface changes the inclination that is caused.
In a kind of specific embodiment, detector 464 is two-dimensional CCD arrays, and the beam deflection of direction monitors in the substrate plane to being in for it.Active mirrors can be less and compact, for example can be from Dallas, and the Texas Instruments of Texas, the used speculum of micro-reflector chip that Inc. has bought.For the sake of clarity, among Fig. 4 active mirrors is expressed as separately far away, but those skilled in the art can understand, according to embodiments of the invention, can use reflection mirror array with the detector array coupling.
In alternative embodiment, utilize the Fresnel lens (not shown) in the light path between substrate surface and detector 440,442 and 444.In certain embodiments, selecting Fresnel lens is because compare with the globe lens of same diameter, and the common light-gathering ability of Fresnel lens strong (fast, focal length and diameter ratio are less) is also thinner.In this light path, use lens can focus the light on the detector, increased the optical power of system and strengthened systematic function.
<be used for the chuck of semiconductor workpiece 〉
As mentioned above, the even glue developing machine can comprise bake module 90.This bake module 90 can be used for carrying out and align one or more steps that processed workpiece heats.For example, can in " back BARC baking ", " back PR baking " or post exposure bake (PEB) step, use this bake module.
During preventing to toast the temperature transition that causes with back baking cooling significant non-uniform temperature taking place on wafer or workpiece, should make the thickness in the hot gas gap that wafer and below heater are separated keep evenly especially.
Therefore, the chuck that embodiments of the invention adopt is characterized in that being provided with integrated resistance heating and electrostatic clamp element on the hot radical seat.These integrated heating and clamping element kept the wafer flatness with and below workpiece and chuck between hold the uniformity in the gap of hot gas.According to an embodiment of the present, be laminated with KAOPTON TMWafer heater is installed to the top of wafer below, hot surface: isolate at least two voltage regime in the heater, producing chucking power between heating element and the wafer wafer is contacted with electric conductor.Can produce these voltage regime by using conducting element separately and applying the DC bias voltage to the zone that comprises stratie.
Fig. 5 is the simplified cross-sectional view according to a kind of embodiment of device of the present invention.Specifically, be used in chamber 502, handling with electrostatic chuck 500 fastening workpiece W.Electrostatic chuck 500 comprises the electrode 504 and 506 that is covered by insulator or dielectric layer 508.According to a kind of specific embodiment of the present invention, electrode 504 and 506 can comprise the copper metal, and dielectric layer 508 can comprise KAPTON TM
As shown in Figure 5, the upper surface of chuck 500 also comprises the rack characteristic 510 of projection, and rack characteristic 510 is provided with workpiece W is remained on chuck 500 tops that separated by hot gas gap 512.Usually, hot gas gap 512 has about 100 μ m or littler width, and this width is enough to make the hot gas of wafer below can circulate so that heat energy is transmitted towards the chuck of below or from this chuck equably.According to an embodiment of the present, finding by finite element analysis, is best with about ten seven (17) individual bracket leg support members above chuck surface with workpiece support.
Toward each other during bias voltage, produced the electrostatic attraction that makes workpiece be connected to chuck at the electrode 504 and 506 that makes chuck 500.Specifically Fig. 6 a-6b illustrates the schematic diagram of simplifying through greatly, illustrates by apply the electrostatic attraction that potential difference produces between the bipolar electrode of workpiece support.Fig. 6 a shows at the workpiece W that is not positioned under the situation that applies potential difference between electrode 504 and 506 on the chuck 500.Electric charge is evenly distributed on the workpiece W, does not have electrostatic attraction between the chuck 500 of workpiece W and below.
Fig. 6 b illustrates the situation that applies potential difference subsequently from power supply 520 between electrode 504 and 506.Owing to apply this potential difference, electrode 504 and 506 is subjected to opposite charging.In addition, the electric charge that exists among workpiece W redistribution under electrode through overcharging 504 and 506 influences.Specifically, the electric charge of opposite types attracted to the workpiece area close with respective electrode.These charge differences have then caused the electrostatic attraction between workpiece W and the chuck 500.According to an embodiment of the present, have been found that the potential difference that applies between about 800-1200V to a pair of copper electrode provides the electrostatic attraction that is enough to the workpiece of diameter 300mm is fastened to chuck, maintains the hot gas gap the even accurate distance of 100 μ m.
With reference to figure 6b, be important to note that during electrostatic clamp is handled workpiece W does as a wholely to remain electroneutral.Therefore, during clamping, do not need workpiece is contacted to keep electric neutrality with electric conductor.
With reference to figure 5, electrostatic chuck 500 also comprises stratie 522 below electrode 504 and 506.Heating element 522 is formed by electric conducting material, and is arranged in response to electric current by producing heat.This electric current is that source 524 electric connections by the terminal 522a that makes stratie and 522b and electrical potential difference cause.According to an embodiment of the present, heating element 522 can be by high-resistance material (INCONEL for example TM) form.
The static heating element is embedded in the dielectric substance 508, and is separated by its electrode with the below.According to an embodiment of the present, electrode and stratie can electrically isolated from onely be opened, and the terminal that has separately is used for working down in the potential difference influence of different (or identical).By the electrostatic clamp function is separated with heating fully, such embodiment has the bigger advantage of flexibility.
Cooling to semiconductor workpiece W is by realizing that hot radical seat 526 defines inner passage 528 on the surface that chuck 500 is supported on hot radical seat 526.Passage 528 is in fluid with heat transfer cycle device 532 and is communicated with, and is arranged to make thermal control fluid 530 (for example air, water or helium) through pedestal 526 circulations.Fluid 530 absorbs heat from pedestal 526, and its circulation can replace with cool fluid then.
The operation of chuck 500 is described below in conjunction with the simplified timing diagram of Fig. 7.During the period 1 700 of workpiece being introduced in the chamber, make the passage active cycle of thermal control fluid through the hot radical seat.There is not the electric current stratie of flowing through this moment.Therefore, pedestal and chuck remain under the steady temperature.
At moment T1, the mechanical arm (not shown) is sent to workpiece in the chamber.Lifting refers to that (liftfinger) assembly has lifting and refers to, pneumatic lifting mechanism makes lifting refer to raise by chuck.Mechanical arm is placed on substrate on the tip that lifting refers to, the pneumatic lifting mechanism under the computer system control is reduced to workpiece on the chuck.At this moment, workpiece contacts with the rack characteristic of projection, and is separated by hot gas gap and chuck surface.
In case workpiece is placed on the chuck, then make those electrodes of chuck that electrical bias be arranged each other, to pass through the fastening workpiece of electrostatic means by the chuck voltage source.At moment T1, thermal control fluid is also ended through the circulation of pedestal.Thus, wafer that is held and chuck are in identical substantially temperature.
In case be secured to chuck at moment T1 wafer, then, make electric current flow through heating element to cause resistance heating at subsequently moment T2.As shown in Figure 5, the chamber comprises temperature sensor 590, and temperature sensor 590 is positioned at the chuck surface top with monitor temperature.Can adopt the various temperature sensor design.Laid-open U.S. Patents application No.2003/0209773 describes a kind of design for temperature sensor in detail, and this application is transferred the possession of with the application is common, and is that any purpose is incorporated into this by reference.
Applying electric energy to stratie lasts till always and reaches target temperature.At moment T3, stop also to stop to clamping element supply electric energy to heating element supply electric energy.Treated workpiece can be taken out from chuck and chamber then.
Fig. 7 shows the schematic diagram through simplifying greatly of the sequence of events of a kind of Application Example of the present invention, can expect various changes.For example, before wafer is taken out from the chamber, the temperature of wafer and chuck can be remained on target temperature a period of time.This adjustment for example can realize that described feedback mechanism utilizes heating element to apply heat energy by feedback mechanism, and utilizes fluid to take away heat energy through the circulation of passage in the hot radical seat.According to another kind of approach, before from the chamber, taking out, can allow treated workpiece on chuck, to cool off a period of time.
Fig. 8 shows the detail perspective view according to a kind of embodiment of chuck assembly of the present invention.Chuck 800 comprises top dielectric surface 802, and this surperficial diameter d is slightly larger than the diameter of expectation workpiece.A plurality of projection rack characteristics 804 are born on top dielectric surface 802, and these projection rack characteristics have 100 μ m or littler height usually.Bipolar electrode is positioned under the dielectric surface 802 806a and 806b.Stratie 808 then is positioned at bipolar electrode under 806a and the 806b.
Chuck 800 also comprises peripheral part 810, and peripheral part 810 comprises auxiliary heating element 812.Auxiliary heating element 812 can be subjected to independent control, offsetting the thermal effect that the Waffer edge place takes place, thereby guarantees the temperature homogeneity on the whole diameter of wafer.
Example described here and embodiment are just for illustration purpose.Various modifications or the change carried out according to them can occur to those skilled in the art, and are included in the scope of the application's spirit and scope and claim.Except shown in the claim, the present invention is not limited by other.

Claims (20)

1. semiconductor workpiece chuck comprises:
Upper surface comprises dielectric substance;
The rack characteristic of a plurality of projections extends to the one section height in described upper surface top;
At least two electrodes embed in the described dielectric substance, and described at least two electrodes are arranged to be electrically connected with the antipole of voltage source; With
Stratie is opened by dielectric isolation with described electrode, and described stratie is arranged to be electrically connected with second voltage source.
2. chuck according to claim 1, wherein, described electrode comprises copper.
3. chuck according to claim 1, wherein, described heating element comprises INCONEL TM
4. chuck according to claim 1, wherein, described chuck is about 300 mm dias, and the rack characteristic number of described projection is about 17.
5. chuck according to claim 1, wherein, the height of the rack characteristic of described projection is about 100 microns or littler.
6. chuck according to claim 1 also comprises the neighboring area, and described neighboring area comprises additional heating element.
7. device that semiconductor workpiece is handled comprises:
Process chamber comprises the wall that has held the hot radical seat, and described hot radical seat comprises makes the circulation heat-transfer fluid used passage that flows;
Chuck is arranged to be positioned on the described hot radical seat, and described chuck comprises,
Upper surface comprises dielectric substance;
The rack characteristic of a plurality of projections extends to the one section height in described upper surface top;
A plurality of electrodes embed in the described dielectric substance, and are arranged to be electrically connected with the antipole of voltage source; With
Stratie is opened by dielectric isolation with described electrode, and described stratie is arranged to be electrically connected with second voltage source; And
Temperature sensor is positioned on the described upper surface of described chuck.
8. device according to claim 7, wherein, described electrode comprises copper.
9. device according to claim 7, wherein, described heating element comprises INCONEL TM
10. device according to claim 7, wherein, described chuck is about 300 mm dias, and the rack characteristic number of described projection is about 17.
11. device according to claim 7, wherein, the height of the rack characteristic of described projection is about 100 microns or littler.
12. device according to claim 7, wherein, described chuck also comprises the neighboring area, and described neighboring area comprises additional heating element.
13. device according to claim 7, wherein, described process chamber comprises the bake module that is used for the photoresist handling machine.
14. device according to claim 7, wherein, the group that described heat-transfer fluid selects Free water, air and helium to form.
15. the method that semiconductor workpiece is handled, described method comprises:
Semiconductor workpiece is placed on the rack characteristic of a plurality of projections, the rack characteristic of described projection is from the dielectric substance upper surface projection of chuck;
A pair of bipolar electrode in embedding described dielectric substance applies first potential difference, attracts chucking power to produce between described workpiece and described chuck;
Stratie in described chuck applies second potential difference, to heat described workpiece;
Detect the temperature of described workpiece; And
When detecting target temperature, interrupt applying described second potential difference.
16. method according to claim 15 also comprises:
Described chuck is placed on the hot radical seat that defines passage;
Before being placed on described workpiece on the described chuck, make thermal control fluid through described channel cycle, to stablize the temperature of described chuck; With
When being placed on described workpiece on the described chuck, interrupt of the circulation of described thermal control fluid through described passage.
17. method according to claim 16 wherein, comprises described thermal control fluid circulation and makes at least one circulation in water, air and the helium.
18. method according to claim 15 wherein, arranges that the step of described semiconductor workpiece comprises that layout comprises the semiconductor workpiece of photoresist layer.
19. method according to claim 18, wherein, the step that heats described workpiece comprises in back BARC baking procedure, back PR baking procedure and the post exposure bake step.
20. method according to claim 15, wherein, described workpiece is supported to upper surface top about 100 microns or the littler distance of described chuck by the rack characteristic of described projection.
CNA2006800225013A 2005-04-21 2006-04-06 Electrostatic chuck for semiconductor workpieces Pending CN101238568A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US67415505P 2005-04-21 2005-04-21
US60/674,155 2005-04-21
US11/153,974 2005-06-15

Publications (1)

Publication Number Publication Date
CN101238568A true CN101238568A (en) 2008-08-06

Family

ID=39921181

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2006800225013A Pending CN101238568A (en) 2005-04-21 2006-04-06 Electrostatic chuck for semiconductor workpieces

Country Status (1)

Country Link
CN (1) CN101238568A (en)

Similar Documents

Publication Publication Date Title
JP2008537357A (en) Electrostatic chuck for semiconductor workpieces
US7371022B2 (en) Developer endpoint detection in a track lithography system
TWI289739B (en) Lithographic apparatus with two-dimensional alignment measurement arrangement and two-dimensional alignment measurement method
CN109313390A (en) The source HHG checks equipment and the method for executing measurement
CN107799451A (en) Curvature is controlled to control the location-specific stress of overlay to adjust in semiconductor machining
US10379448B2 (en) Methods and apparatus for predicting performance of a measurement method, measurement method and apparatus
KR100724050B1 (en) A method for measuring information about a substrate, and a substrate for use in a lithographic apparatus
US11899377B2 (en) System and method for thermal management of reticle in semiconductor manufacturing
US20070000441A1 (en) Scalable uniform thermal plate
CN105874387A (en) Method and apparatus for design of a metrology target
TW200837507A (en) A scatterometer, a lithographic apparatus and a focus analysis method
TW201133154A (en) Lithographic apparatus and device manufacturing method
TW200931208A (en) Alignment method and apparatus, lithographic apparatus, metrology apparatus and device manufacturing method
CN108700826A (en) Control method, lithographic equipment, measurement equipment lithographic cell and the associated computer program of Patternized technique
KR20190007032A (en) Control of Critical Dimensions by Using Optical Agents
JP4476622B2 (en) Temperature control chuck
EP2602663A1 (en) System and method for overlay control
KR20080016782A (en) Cluster tool architecture for processing a substrate
US20050095818A1 (en) Wiring technique
CN108369384A (en) Illuminator with flexibility
TWI738908B (en) Exposure device, exposure method and storage medium
CN106030411B (en) Determine method, detection device, patterning device, substrate and the device making method of edge position error
JP2816866B2 (en) Processing method and processing apparatus
JP2005191566A (en) Positioning method, overlay optimization method, device manufacturing method, and lithographic projection apparatus
US8377721B2 (en) Substrate processing system and method

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20080806