CN101124659A - Systems and methods for harvesting and integrating nanowires - Google Patents

Systems and methods for harvesting and integrating nanowires Download PDF

Info

Publication number
CN101124659A
CN101124659A CNA2005800299120A CN200580029912A CN101124659A CN 101124659 A CN101124659 A CN 101124659A CN A2005800299120 A CNA2005800299120 A CN A2005800299120A CN 200580029912 A CN200580029912 A CN 200580029912A CN 101124659 A CN101124659 A CN 101124659A
Authority
CN
China
Prior art keywords
nano wire
substrate
nano
group
described method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2005800299120A
Other languages
Chinese (zh)
Inventor
L·T·罗马诺
陈建
段镶锋
R·S·杜布罗
S·A·恩培多克勒
J·L·戈德曼
J·M·汉密尔顿
D·L·希尔德
F·莱米
牛春明
潘尧令
G·蓬蒂斯
V·萨布
E·C·谢尔
D·P·斯顿博
J·A·怀特福德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nanosys Inc
Original Assignee
Nanosys Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nanosys Inc filed Critical Nanosys Inc
Publication of CN101124659A publication Critical patent/CN101124659A/en
Pending legal-status Critical Current

Links

Images

Abstract

The present invention is directed to methods to harvest, integrate and exploit nanomaterials, and particularly elongated nanowire materials. The invention provides methods for harvesting nanowires that include selectively etching a sacrificial layer placed on a nanowire growth substrate to remove nanowires. The invention also provides methods for integrating nanowires into electronic devices that include placing an outer surface of a cylinder in contact with a fluid suspension of nanowires and rolling the nanowire coated cylinder to deposit nanowires onto a surface. Methods are also provided to deposit nanowires using an ink-jet printer or an aperture to align nanowires. Additional aspects of the invention provide methods for preventing gate shorts in nanowire based transistors. Additional methods for harvesting and integrating nanowires are provided.

Description

Obtain system and method with integrating nanowires
Background of invention
Invention field
The present invention relates to nano wire, and more specifically, relate to obtaining of nano wire with integrated.
Background of invention
Nano material, particularly nano wire have the potentiality that promote brand-new generation electronic device.For example, in some cases, provide the application of nano material, utilized the uniqueness and the properties of interest of those materials, than being used as integral material more as the desired discrete component of single component.Duan etc. for example, Nature 425:274-278 (in September, 2003) discloses a kind of nano wire based transistor that is used for large area electron substrate such as display, antenna etc., it adopts the semiconductor nanowires film or the layer of whole processing, orientation, the semiconductor wafer of replacement rigidity.The result is that performance equal (par) is in the electronic substrate of monocrystalline wafer substrate, it can use routine and make than being used for making the more cheap method of semi-conductive those methods of poorer performance amorphism, and it also more is obedient to for architecture that changes such as flexible and/or moulding material.
In another example, the flexible and effective active layer that the nanocrystal of integral body processing is used as photoelectric device has been described.Particularly, provide the ability of quantum confinement semiconductor crystal (displacement of II type band gap is provided) in hole-conductive matrix, make it possible to prepare photoactive layer, described photoactive layer can be used as photovoltaic device or photoelectric detector.In the time of in being placed in active composite material, use the film coating process of standard, process these nano materials simply.For example, be illustrated in the U.S. Patent application submitted on September 4th, 2,003 10/656, No. 802, be combined in this with its full content by reference.
Use according to these of nano wire and other nano material, it is ability along to the film of the nano wire of dead axle orientation basically that new technology requires to provide.The technology that is used for this orientation has been described in detail in for example international publication WO 03/085700, WO 03/085701 and WO 2004/032191, and the U.S. Patent application of submitting on September 25th, 2,003 10/673, in 092, these patent documents by reference and be combined in this with their full content.
The major obstacle that occurs based on the electronic device of nanostructure for this a new generation is to grow effectively to have the nano wire of consistent characteristic and the ability of other nanostructure.The existing method of obtaining with integrating nanowires is not easy to large-scale production, and does not produce consistent nanowire properties characteristic, and can improve, to produce the better device performance based on nano wire.
Neededly be that the nano wire of being convenient to large-scale production, obtaining consistent nanowire properties characteristic and producing improved device performance obtains and integrated system and method.
Summary of the invention
The invention provides in the device based on nano wire, the nano wire of being convenient to large-scale production, obtaining consistent nanowire properties characteristic and producing improved device performance obtains and integrated method.
In a first aspect of the present invention, provide a kind of method of obtaining nano wire.This method comprises: first substrate that deposits first sacrifice layer on it is provided, described first sacrifice layer can be dissolved by selectivity with respect to first semi-conducting material, growing nano line-group on first sacrifice layer, described nano wire comprises first semi-conducting material, and selective dissolution sacrifice layer under the situation of not dissolving nano wire is to discharge the nanometer line-group from first substrate.
On the other hand, the invention provides a kind of method of obtaining nano wire, this method comprises: provide to be attached to the lip-deep semiconductor nano line-group of growth substrates, the nanometer line-group is exposed to etchant, from the nanometer line-group, removing sacrifice layer, and obtain the nanometer line-group from growth substrates.Sacrifice layer can comprise the oxide skin(coating) that for example deposits, silicon nitride layer, photoresist layer etc. on substrate.
A kind of method of the orientation depositing nano line on first surface with basic alignment is provided in another aspect of this invention.This method comprises: first surface is provided, described first surface is cylindrical outer surface, place cylindrical part outer surface and contact with the fluid suspended body of nano wire, and the rolling circle cylinder, thereby the different piece of first surface is passed in and out from the fluid suspended body of nano wire.
In related fields of the present invention, a kind of method that is coated with nano wire with the orientation of substantial alignment on the first surface of substrate is disclosed.This method comprises: the applicator roll with outer surface and axle is provided, applicator roll is rotated around its axle, contact with the fluid suspended body of nano wire with the part outer surface of placing roller at least, and with respect to the first surface of applicator roll translation substrate of rotation, with first surface with the fluid suspended body coated substrate of nano wire.
For example, applicator roll can be the part of following coating machine: the direct or trans gravure coating machine of standard, or other any suitable coating machine known to a person of ordinary skill in the art.Can construct applicator roll, on the direction identical or opposite, to rotate with the moving direction of substrate.Relative motion between applicator roll and the substrate surface helps the nano wire coating material of unanimity amount is transferred on the substrate from roller, thereby with the nano wire coated substrate of substantial alignment.Coating material can be made up of the nano wire in suitable solvent, perhaps other stabilizer, adhesive, surfactant etc. can be arranged, and they can be used for producing suitable nano wire coating.Can carry out the metering of nano wire coating solution by the gravure roller in a usual manner.
More on the one hand, the invention provides a kind of on the first surface of substrate the method for depositing nano line, this method comprises: the nano wire that is provided at many substantial alignment (or not to agent) of passing the hole internal fixation that substrate settles.Then, be adjacent to place second substrate, and the nano wire of settling in the Xiang Zaikong applies power, thereby nano wire is transferred on the first surface of first substrate in the mode of substantial alignment with the first surface of first substrate.
Aspect other one, the invention provides a kind of method that prevents gate short in the nano wire based transistor, this method comprises: at least one nanometer line-group is provided, wherein the subclass of nanometer line-group is crossed over source electrode and drain electrode, and described nanometer line-group comprises the semiconductor core zone that prolongs nanowire length and prolongs the dielectric shell zone of nanowire length; Between source electrode and drain electrode and any nanometer line-group that is in contact with it, provide ohmic contact; Etch away not core zone distinctively with the nanometer line-group of source electrode and drain electrode ohmic contact; And on the nanometer line-group, provide gate electrode, thus gate electrode not with the core of nanometer line-group zone ohmic contact.
Describe the structure and the operation of other embodiments of the present invention, feature and advantage and various embodiments of the present invention in detail below with reference to accompanying drawing.
The accompanying drawing summary
The present invention is described with reference to the drawings.In the accompanying drawings, similar mark is represented identical or functionally similar element.Wherein the accompanying drawing that occurs first of element is by leftmost numeral in the respective markers.
Figure 1A is a single crystal semiconductor nanometer line chart.
Figure 1B is the nanometer line chart that mixes according to core-shell structure.
Fig. 2 A is nano wire based transistor device figure.
Fig. 2 B has the nano wire based transistor device figure as the directional nano line film of conducting channel.
Fig. 3 schematically illustrates the combination of using photo anti-corrosion agent material. obtain the technology of nano wire from growth substrates.
Fig. 4 A-D schematically illustrates the technology of obtaining nano wire (for example, core-shell nano thread structure) from growth substrates.
Fig. 5 A illustrates the cylinder based system that is used for directional nano line film on the substrate that its intermediate roll flatly is provided with.
Fig. 5 B illustrates the cylinder based system that is used for directional nano line film on the substrate that its intermediate roll is provided with vertically.
Fig. 6 A can be used on the surface of substrate the figure of trans gravure coating machine of deposition and alignment nano wire, and described nano wire is used for for example being integrated into function element.
Fig. 6 B is depicted as the SEM image of use trans gravure coating machine nanometer line-group of substantial alignment on substrate surface as shown in Figure 6A.
Fig. 7 A is the gravure coating machine figure that comprises " strip " pattern, wherein, with serial striped nano wire film is formed pattern on coating machine, and described nano wire aligns on the reel direction of motion of coating machine.
Fig. 7 B is substrate (for example, flexible, the plastic) figure that has used the strip gravure coating machine coating of Fig. 7 A.
Fig. 8 is the figure that groove or porose substrate are arranged that uses at the oriented growth nano wire that is used for applying subsequently.
Fig. 9 is the hole pattern on substrate as shown in Figure 8, comprises by crossing the directed basically nano wire that this hole is crossed in the growth of described hole.
Figure 10 has schematically shown and has been used for directed basically nano wire is transferred to system and the technology that receives on the substrate from porose growth substrates, for example has been used for being integrated into function element.
Figure 11 A-11C schematically illustrates the technology that prevents gate short in the nano wire based transistor device by the further processing of nano wire and nano wire film.
Detailed Description Of The Invention
Should be appreciated that concrete enforcement in this demonstration and description is that embodiments of the invention and being not intended to by any way limit the scope of the invention in addition.Certainly, for the purpose of brief, can not describe other function aspects (with the element of each operation element of system) of conventional electrical instrument, preparation, semiconductor device and nano wire (NW), nanometer rods, nanotube and nano belt technology and described system in detail at this.In addition, for the purpose of brief, the present invention is described as relating to nano wire continually at this.
Although should be appreciated that and mention nano wire continually, technology described here also is applicable to other nanostructure such as nanometer rods, nanotube, nanometer quadrangular pyramid body, nano belt and/or their combination.Should also be appreciated that technology of preparing described here can be used to form any semiconductor device type and other electron component type.In addition, described technology can be suitable for application, space application or any other application in electrical system, optical system, consumer electronics, industrial electronic instrument, wireless system.
As used in this, " length-width ratio " is the mean value of first length of nanostructure divided by second of this nanostructure and the 3rd length, and wherein second and the 3rd is two axles that length almost completely equates each other.For example, the length-width ratio of desirable rod should be the diameter of its long axis length divided by the cross section of vertical (quadrature) this major axis.
When using when relating to nanostructure, term " heterostructure " refers to it is characterized in that the nanostructure of at least two kinds of differences and/or diacritic material type.Typically, a zone of nanostructure comprises first material type, and the second area of this nanostructure comprises second material type.For example, in some embodiments, nanostructure comprises the core of first material and the shell of one deck second (or C grade) material at least, and wherein different materials type radial distribution is around the major axis of the arm of nano wire major axis, dendritic nanocrystal or nanocrystal center.Shell needn't cover fully adjacent materials be considered to shell or for nanostructure to be considered to heterostructure.For example, the core that is characterised in that a kind of material is a heterostructure by the nanocrystal that the second material island covers.In other embodiments, the different materials type is distributed in the diverse location in the nanostructure.For example, material type can distribute along master's (length) of nano wire axle or along the major axis of the arm of dendritic nanocrystal.Zones of different in heterostructure can comprise diverse material, and perhaps zones of different can comprise a kind of basis material.
As used in this, " nanostructure " is the structure with at least one zone or characteristic size, described at least one zone or characteristic size have the size less than about 500nm, for example less than about 200nm, less than about 100nm, less than about 50nm or even less than about 20nm.Typically, described zone or characteristic size should be along the minimum axles of described structure.The example of these structures comprises nano wire, nanometer rods, nanotube, dendritic nanocrystal, nanometer quadrangular pyramid body, tripod, two corner brackets, nanocrystal, nano dot, quantum dot, nano particle, dendritic quadrangular pyramid body (for example inorganic dendritic) etc.The material property of nanostructure can be basically homogeneous or can be inhomogenous (for example heterostructure) in some embodiments.Nanostructure can be, for example basically crystal, basically monocrystalline, polycrystalline, amorphous or their combination.In one aspect, each of nanostructure three-dimensional has the size less than about 500nm, for example less than about 200nm, less than 100nm, less than about 50nm or even less than about 20nm.
As used in this, term " nano wire " is often referred to any elongated conduction or semiconductive material (or other material described here), it comprises less than 500nm and preferred at least one cross sectional dimensions less than 100nm, and have greater than 10, be preferably greater than 50 and more preferably greater than 100 length-width ratio (length: width).
The material property of nano wire of the present invention can be basically homogeneous or can be inhomogenous (for example nano wire hetero structure) in some embodiments.Nano wire can and can be for example crystal, monocrystalline, polycrystalline or amorphous basically basically from any conventional material preparation basically.Nano wire can have variable-diameter maybe can have the diameter of homogeneous basically, promptly in zone that maximum changes with at least in the linear dimension of 5nm (for example 10nm, 20nm or 50nm at least at least) at least, the variance of diameter is less than about 20% (for example less than about 10%, less than about 5% or less than about 1%).Typically, away from nano wire end (for example nano wire central authorities 20%, 40%, 50% or 80%) assessment diameter.In the whole length or its part of its major axis, nano wire can be straight maybe can be for example shaped form or bending.In some embodiments, nano wire or its part can have two or three-dimensional quantum confinement.Can definitely get rid of carbon nano-tube according to nano wire of the present invention, and get rid of " palpus " or " nanowhisker " in some embodiments, particularly have greater than 100nm or greater than the palpus of the diameter of about 200nm.
The example of these nano wires comprises as at the semiconductor nanowires described in International Patent Application WO 02/17362, WO02/48701 and the WO 01/03208 that announces, the elongated conduction or the semiconductive structure of carbon nano-tube and other similar size, these are combined in this by reference.
As used in this, term " nanometer rods " typically refers to similar nano wire but has length-width ratio (length: any elongated conduction width) or semiconductive material (or other material described here) less than nano wire.Should point out and two or two above nanometer rods can be linked together along its longitudinal axis, make the nanometer rods that connects across the whole distances between the electrode.Alternatively, can with two or two above nanometer rods along its longitudinal axis substantial alignment but do not link together, make between the end of described two or two above nanometer rods, to have little gap.In the case, electronics can flow on another root from a nanometer rods by jumping to another root from a nanometer rods to cross little gap.Described two or two above nanometer rods can substantial alignment, make it form raceway groove, can move between electrode by this channel electrons.
Can use multiple nano wire, nanometer rods, nanotube and nano belt material, comprise being selected from for example being selected from following semi-conducting material: Si, Ge, Sn, Se, Te, B, C (comprising diamond), P, B-C, B-P (BP 6), B-Si, Si-C, Si-Ge, Si-Sn and Ge-Sn, SiC, BN/BP/BAs, AlN/AlP/AlAs/AlSb, GaN/GaP/GaAs/GaSb, InN/InP/InAs/InSb, BN/BP/BAs, AlN/AlP/AlAs/AlSb, GaN/GaP/GaAs/GaSb, InN/InP/InAs/InSb, ZnO/ZnS/ZnSe/ZnTe, CdS/CdSe/CdTe, HgS/HgSe/HgTe, BeS/BeSe/BeTe/MgS/MgSe, GeS, GeSe, GeTe, SnS, SnSe, SnTe, PbO, PbS, PbSe, PbTe, CuF, CuCl, CuBr, CuI, AgF, AgCl, AgBr, AgI, BeSiN2, CaCN2, ZnGeP 2, CdSnAs 2, ZnSnSb 2, CuGeP 3, CuSi 2P 3, (Cu, Ag) (Al, Ga, In, Tl, Fe) (S, Se, Te) 2, Si 3N 4, Ge 3N 4, Al 2O 3, (Al, Ga, In) 2(S, Se, Te) 3, Al 2CO, and two or more these semi-conductive appropriate combination.
Can also be from other material such as metals like gold, nickel, palladium, iridium (iradium), cobalt, chromium, aluminium, titanium, tin etc., metal alloy, polymer, conducting polymer, pottery and/or they be combined to form nano wire.Can use other conduction or semi-conducting material known at present or later exploitation.
In certain aspects, semiconductor can comprise from following dopant: from the p type dopant of the III-th family of periodic table; N type dopant from the V family of periodic table; Be selected from the p type dopant of B, Al and In; Be selected from the n type dopant of P, As and Sb; P type dopant from the II family of periodic table; Be selected from the p type dopant of Mg, Zn, Cd and Hg; P type dopant from the IV family of periodic table; Be selected from the p type dopant of C and Si; Perhaps be selected from the n type dopant of Si, Ge, Sn, S, Se and Te.Can use other dopant material known at present or later exploitation.
In addition, described nano wire or nano belt can comprise carbon nano-tube or the nanotube that is formed by conduction or semiconductive organic polymer material (for example pentacene and transition metal oxide).
At this, although mention term " nano wire " for illustration purpose in the whole text at this specification, intention is also to comprise at this specification the use of nanotube (for example having the nanometer linear structure of passing the hollow tube of formation on it is axial).Can with the form of describing the same combinations/thin films with nanotube of nano wire at this, form nanotube so that performance described here and advantage to be provided separately or with the nano wire combination.
Should be appreciated that in this space of carrying out and describe that (for example " above ", " below ", " make progress ", " downwards ", " top ", " bottom " etc.) are for illustrative purposes, and can spatially settle device of the present invention with any orientation or mode.
Prepare nano material with various method.For example, in order to prepare the inorganic spherical nano material, for example, quantum dot, and elongated nano material such as nanometer rods and nanometer quadrangular pyramid body have been described the crystal growth that solution-based, surfactant mediate.Also other method is used for preparing nano material, comprises gas phase process.For example, reported that the laser pyrolysis by silane gas prepares si-nanocrystals.
Other method adopts the substrate-based synthetic method, comprises for example low-temperature synthetic method, is used for preparation example such as ZnO nano wire, as by (" Low-temperature wafer scale production ofZnO Nanowire arrays " such as Greene, L.Greene, M.Law, J.Goldberger, F.Kim, J.Johnson, Y.Zhang, R.Saykally, P.Yang, Angew. Chem.Int.Ed.42,3031-3034,2003) described; With high temperature VLS method, for example, it adopts the catalytic gold particle, and described gold particle is deposited as colloid or is deposited as film, and described colloid or film form particle by heating.The VLS method of this preparation nano wire is described in the International Patent Application WO of for example announcing 02/017362, and whole disclosures of this application all are combined in this with its full content by reference for all purposes.
The kind of nano wire and synthetic
Figure 1A illustrates single crystal semiconductor nanowire core (after this being called " nano wire ") 100.Figure 1A has shown nano wire 100, i.e. the monocrystal nanowire that evenly mixes.Can this monocrystal nanowire be doping to p-or n-N-type semiconductor N with suitable controllable mode.The electronics performance that nano wire that mixes such as nano wire 100 have raising.For example, this nano wire that can mix makes it have the carrier mobility that can compare with the bulk single crystal material.
Figure 1B has shown the nano wire 110 that mixes according to core-shell structure.As shown in Figure 1B, nano wire 110 has doped surface layer 112, and doped surface layer 112 can have the thickness level of variation, comprises just nano wire 110 lip-deep molecular monolayer.
For p type doping line, the valence band of insulation shell can be lower than the valence band of core, and perhaps for n type doping line, the conduction band of shell can be higher than core.Usually, the core nanostructure can be made by any metal or semi-conducting material, and shell can be made from the same material or a different material.For example, first core material can comprise first semiconductor, and described first semiconductor is selected from II-VI family semiconductor, III-V family semiconductor, IV family semiconductor and their alloy.Similarly, second material of shell can comprise second semiconductor identical or different with first semiconductor, and for example described second semiconductor is selected from II-VI family semiconductor, III-V family semiconductor, IV family semiconductor and their alloy.The semiconductor example includes but not limited to, CdSe, CdTe, InP, InAs, CdS, ZnS, ZnSe, ZnTe, HgTe, GaN, GaP, GaAs, GaSb, InSb, Si, Ge, AlAs, AlSb, PbSe, PbS and PbTe.As noted above, metal material can be used as core material as gold, chromium, tin, nickel, aluminium etc. and alloy thereof, and can use the outer metallizing core of suitable shell material such as silicon dioxide or other insulating material.
Employing can be fit to any method in the multiple facilitated method of different materials, can prepare nanostructure and can control its size.Nanocrystal synthetic of various compositions for example, described: Peng etc., (2000) " Shape Control of CdSe Nanocrystals " in following document for example Nature404,59-61; Puntes etc., (2001) " Colloidal nanocrystal shape and sizecontrol:The case of cobalf " Science291,2115-2117; The USPN6 of Alivisatos etc., 306,736 (October 23 calendar year 2001), exercise question: " Process for forming shaped group III-Vsemiconductor nanocrystals, and product formed using process "; The USPN 6,225,198 of Alivisatos etc. (May 1 calendar year 2001), exercise question: " Process for forming shaped groupII-VI semiconductor nanocrystals, and product formed using process "; The USPN 5,505,928 of Alivisatos etc. (on April 9th, 1996), exercise question: " Preparation of III-Vsemiconductor nanocrystals "; The USPN 5,751,018 of Alivisatos etc. (on May 12nd, 1998), exercise question: " Semiconductor nanocrystals covalently bound to solid inorganicsurfaces using self-assembled monolayers "; The USPN6 of Gallagher etc., 048,616 (on April 11st, 2000), exercise question: " Encapsulated quantum sized dopedsemiconductor particles and method of manufacturing same "; USPN 5 with Weiss etc., 990,479 (on November 23rd, 1999), exercise question: " Organo luminescentsemiconductor nanocrystal probes for biological applications and process formaking and using such probes ".
For example having described nano wire in the following document, comprise the growth of nano wire: Gudiksen etc., (2000) " Diameter-selective synthesis ofsemiconductor nanowires " with controlled diameter with various length-width ratios J.Am.Chem.Soc.122,8801-8802; Cui etc., (2001) " Diameter-controlled synthesis of single-crystal silicon nanowires " Appl.Phys. Lett.78,2214-2216; Gudiksen etc., (2001) " Synthetic control of the diameterand length of single crystal semiconductor nanowires " J.Phys.Chem.B105,4062-4064; Morales etc., (1998) " A laser ablation method for the synthesis ofcrystalline semiconductor nanowires " Science279,208-211; Duan etc., (2000) " General synthesis of compound semiconductor nanowires " Adv.Mater.12,298-302; Cui etc., (2000) " Doping and electrical transport in silicon nanowires " J.Phys.Chem.B104,5213-5216; Peng etc., (2000) " Shape control of CdSenanocrystals " Nature404,59-61; Puntes etc., (2001) " Colloidal nanocrystalshape and size control:The case of cobalt " Science291,2115-2117; The USPN 6,306,736 of Alivisatos etc. (October 23 calendar year 2001), exercise question: " Process for forming shapedgroup III-V semiconductor nanocrystals, and product formed using process "; The USPN 6,225,198 of Alivisatos etc. (May 1 calendar year 2001), exercise question: " Process for formingshaped group II-VI semiconductor nanocrystals, and product formed usingprocess "; The USPN 6,036,774 of Lieber etc. (on May 14th, 2000), exercise question: " Method ofproducing metal oxide nanorods "; The USPN 5,897,945 of Lieber etc. (on April 27th, 1999), exercise question: " Metal oxide nanorods "; The USPN 5,997,832 of Lieber etc. (on December 7th, 1999) " Preparation of carbide nanorods "; Urbau etc., (2002) " Synthesis ofsingle-crystalline perovskite nanowires composed of barium titanate andstrontium titanate " J.Am.Chem.Soc., 124,1186; With Yun etc., (2002) " Ferroelectric Properties of Individual Barium Titanate Nanowires Investigatedby Scanned Probe Microscopy " Nanoletters2,447.
The growth of dendritic nano wire (for example nanometer quadrangular pyramid body, tripod, two corner brackets and dendritic quadrangular pyramid body) has been described: Jun etc., (2001) " Controlled synthesis ofmulti-armed CdS nanorod architectures using monosurfactant system " in following document for example J.Am.Chem.Soc.123,5150-5151; With Manna etc., (2000) " Synthesis of Solubleand Processable Rod-, Arrow-, Teardrop-, and Tetrapod-Shaped CdSeNanocrystals " J.Am.Chem.Soc.122,12700-12706.
For example describing synthesizing of nano particle in the following document: the USPN5 of Clark Jr. etc., 690,807 (on November 25th, 1997), exercise question: " Method for producing semiconductorparticles "; The USPN 6,136,156 of El-Shall etc. (on October 24th, 2000), exercise question: " Nanoparticles of silicon oxide alloys "; The USPN 6,413,489 of Ying etc. (on July 2nd, 2002), exercise question: " Synthesis of nanometer-sized particles by reverse micellemediated techniques "; With Liu etc., (2001) " Sol-Gel Synthesis of Free-StandingFerroelectric Lead Zirconate Titanate Nanoparticles " J.Am.Chem.Soc.123,4344.Also described the synthetic of nano particle in the quoting of above-mentioned growth about nanocrystal, nano wire and dendritic nano wire, wherein the nanostructure of Sheng Chenging has the length-width ratio less than about 1.5.
The heterostructure of core-shell nanostructure has been described in following document for example, be the synthetic of nanocrystal and nano wire (for example nanometer rods) core-shell heterostructure: Peng etc., (1997) " Epitaxial growthof highly luminescent CdSe/CdS core/shell nanocrystals with photostabilityand electronic accessibility " J.Am.Chem.Soc.119,7019-7029; Dabbousi etc., (1997) " (CdSe) ZnS core-shell quantum dots:Synthesis and characterization ofa size series of highly luminescent nanocrysallites " J.Phys.Chem.B101,9463-9475; Manna etc., (2002) " Epitaxial growth and photochemical annealingof graded CdS/ZnS shells on colloidal CdSe nanorods " J.Am.Chem.Soc.124,7136-7145; With Cao etc., (2000) " Growth and properties of semiconductorcore/shell nanocrystals with InAs cores " J.Am.Chem.Soc.122,9692-9702.Similar approach is applicable to the growth of other core-shell nanostructure.
Different materials is distributed in the nano wire hetero structure of diverse location along the major axis of nano wire growth has been described: Gudiksen etc., (2002) " Growth of nanowiresuperlattice structures for nanoscale photonics and electronics " in following document for example Nature415,617-620; Bjork etc., (2002) " One-dimensional steeplechase for electronsrealized " Nano Letters2,86-90; Wu etc., (2002) " Block-by-block growth ofsingle-crystalline Si/SiGe superlattice nanowires " Nano Letters2,83-86; With the U.S. Patent application 60/370,095 (on April 2nd, 2002) of Empedocles, exercise question: " Nanowireheterostructures for encoding information ".Similar approach is applicable to the growth of other heterostructure.
Exemplary nano line device
The exemplary application of semiconductor nano wire material comprises simple electronic components, as transistor.For example, simple nano-wire transistor device has been shown among Fig. 2 A.As shown, basic device 200 comprises and is placed in substrate 206 and source electrode at a distance from each other 202 and drain electrode 204.Semiconductor nanowires 208 strides across two electrodes and provides, and is electrically connected with electrode 202 and 204.Transistor typically is included in its lip-deep insulator or dielectric layer, and it is at nano wire 208 and for example provide insulation between the gate electrode 210.As shown, dielectric layer is provided as oxide shell on nano wire 208 212 or coating.By modulation impose on gate electrode 210 the field, people can be as changing the conductance of nano wire 208 in the conventional field-effect transistor (FET).
Fig. 2 B schematically illustrates the variant of the simple Devices in Fig. 2 A.As shown, transistor device 250 comprises source electrode 252, drain electrode 254 and grid 260 electrodes that are provided on the substrate 256.But, thereby replace having dielectric shell single nano wire be stride across source electrode and drain electrode 252 and 254 bridge joint gate electrodes 260, the film or the group 258 of directed nano wire basically.Compare with the device among Fig. 2 A, nano wire film 258 provides much higher current density than single nano wire base device, keeps the processability benefit and the uniformity of film base processing simultaneously.Particularly, the fact that some nano wires in the nanometer line-group not exclusively stride across source electrode and drain electrode does not influence the work of device basically, because the nano wire that selects quantity that is dominant will provide this function.
Sacrifice layer and other method of obtaining nano wire
In case synthetic, for many application, the substrate of nano wire from their growths must be separated.For example, in some nano wires are used, need be provided on the smooth substrate surface nano wire as the line thin film deposition (referring to, for example, No. 2004/032193, international application published and Duan etc., Nature 425:274-278 (in September, 2003)).Therefore, need for example nano wire to be removed on growth substrates equably, and nano wire is not broken at random because remove.In this regard, the invention provides in growth substrates the method in conjunction with releasing layer, this releasing layer can be activated so that nano wire is discharged from the surface equably, and without any causing the inhomogeneous exterior mechanical destruction of breaking and waiting.
One special aspect, sacrifice layer is deposited on the growth substrates, perhaps be provided on the growth substrates in addition.Sacrifice layer is meant such layer, and it can optionally be removed or change, adhere to the nano wire on it and do not need machinery to remove method thereby can easily remove, for example, scraping, shearing etc.For instance, sacrifice layer can comprise: do not damaging the layer that can be selectively etched under the situation of adhering to the nano wire on it.
For example, when grow silicon nanowires, following substrate can provide for example silicon nitride layer.Can use for example orthophosphoric acid silicon-nitride selective etching, described orthophosphoric acid is the nitride etching layer under the situation of not attacking silicon nanowires.According to the composition of synthetic thread, can adopt various sacrifice layer, condition is they can be handled distinctively, to discharge these lines under the situation of not damaging them.Such material comprises for example oxide skin(coating), metal level etc., and they can differently react with various etchants or other chemicals such as silicon except that semiconductor line.
Some exemplary line/releasing layers are to for example comprising, from having at SiO 2The SiO of tungsten on the substrate or molybdenum sacrifice layer 2The Si nano wire of growing on the substrate.This metal level usually can be by using common commercially available buffering, weakly alkaline ferricyanate sapping to carve agent formulation by etching distinctively.Similarly, can be at SiO 2Use the SiN layer between substrate and the Si nano wire.Usually can use phosphoric acid, for example the such sacrifice layer of the phosphoric acid etch of 85 weight %.Sacrifice layer can be crystalline (and/or in crystalline substrates cope match-plate patternization), to produce Templated vertical nano-wire growth.For example, ald (ALD) can be used for the film of on Sapphire Substrate deposited catalyst material, wherein this film keeps sapphire crystal periodically, but with sapphire compare with nano wire be can distinguish etched.
Other embodiment that is used on growth substrates, removing equably nano wire in the present invention, for example, not by removing under the situation of random disruptions nano wire, the method that nano wire is discharged in their bases is for example disclosed by the base portion of selective etch nano wire.In this regard, the invention provides selectivity exposes the base portion of nano wire and chemistry (or mechanical, ultrasonic etc.) release (for example, by etching) base portion, thus nano wire removed from the surface equably and destroy without any the exterior mechanical that can cause inhomogeneous fracture etc.
For example,, be depicted as first embodiment with reference to first to Fig. 3, wherein at first with normally used photo anti-corrosion agent material 302 depositions (for example spin coating) on substrate (for example Si substrate), with the end 303 on close substrate 300 surfaces of coating nano wire 304.For example can use one or more commercially available photoresist solvents or chemicals and/or dry type oxygen plasma etch and remove any excessive photoresist that is deposited on unintentionally on the nanowire sidewalls.
Then, the second layer of different photo anti-corrosion agent materials 306 can be formed pattern on the sidewall of nano wire, described photo anti-corrosion agent material 306 can be etched distinctively with first photo anti-corrosion agent material 302.Can adopt silane chemistry or other chemical part of standard for example optional that nanowire surface is functionalized, with attract to adhere on the nano wire second photo anti-corrosion agent material 306 (for example, hydrophobic polymer, as poly-inclined to one side 1,1-difluoroethylene (PVDF)), do not attract photoresist 302 on substrate.
Then, can remove photoresist 302 on substrate with the chemicals of standard or solvent and/or plasma etching, to expose the end 303 of nano wire.
Then, can be with etchant that for example is used for silicon nanowires such as HF/HNO 3Etching adheres to the exposed end of the nano wire on the substrate, to discharge and to remove nano wire, obtains basically the evenly self-supporting nano wire of length.
Nano wire (for example can be removed when growing, under the situation of oxide-free and/or metal-back coating), perhaps can remove afterwards at growth rear oxidation (or other processing step), form one or more layers shell (for example, dielectric or metal gate layers) to go up in nanowire core (further describing) as following.Under latter event, may also need independent HF etching or metal etch step, to remove one or more layers shell such as dielectric or conducting metal (for example, grid) layer fully.
Relatively easy coating of the photoresist that in this technology, uses and dissolving easily.This technology can with most of materials and the process compatible in existing micro-fabrication technique, used, described micro-fabrication technique as discharge and device substrate on before the deposition, direct doping line on growth substrates.
Discharging another embodiment of nano wire from growth substrates shown in Fig. 4 A-D, shown technological process is used for core-shell nano thread structure from growth substrates 401 growths and release.This technological process comprises multilayer core-shell nano wire, it comprises semiconductor core, grid-dielectric inner casing and the grid-electrodes conduct shell of for example selecting to be used to provide needed electric function (for example, CMOS compatibility, RF signal processing capacity, light emission etc.).
First shell is the insulation dielectric layer that plays grid-dielectric effect in resulting devices.During employing, skin is the conductive layer that plays conformal gate electrode effect in resulting devices around each independent line.Such multilayer (and individual layer) core-shell nano wire is further described in for example in common unsettled U.S. Patent Application Serial Number 10/674, in 071, this name of patent application is " Applications ofNano-enabled Large Area Macroelectronic substates ", submit on September 30th, 2003, its full content is combined in this by reference.
In this embodiment, (for example, adopt the VLS epitaxial growth at growth core nano wire 400, with growth core Si nano wire, for example, by be coated with aptly Au or Pt colloid or Thinfilm pattern<111〉Si wafers on, in the CVD stove,, decompose SiCl at about 900 ℃ 4) afterwards, nano wire is exposed to etchant (for example, the HF steam), to remove (amorphous) oxide skin(coating) of nature.If the mass deficiency that this thin natural oxide layer has is then carried out removing of natural oxide layer to bear employed high electric field in device application such as macroscopical electronics (macroelectronic) application.
The natural oxide layer can be replaced by the high-quality dielectric material layer 402 that produces by for example controlled thermal oxidation or chemical vapour deposition (CVD) (for example, Si oxide shell).Dielectric material layer 402 can be selected from various dielectric substances, as SiO 2Or Si 3N 4Can pass through the oxidation nanometer line, the coating nano wire perhaps forms dielectric layer in addition, forms dielectric material layer 402.Other non-oxide high dielectric constant material be can use, silicon nitride, Ta comprised 2O 5, TiO 2, ZrO 2, HfO 2, Al 2O 3Deng.Can with the nano wire oxidation in the similar method of method that adopted carry out the nitrogenize of nano wire.Can pass through chemical vapor deposition (CVD), solution is outer mutually to be coated with or to be spin-coated on the substrate by the precursor that will suit simply, and these materials are applied on the nano wire.Conspicuous as those skilled in the relevant art institute, also can adopt other known technology.
Then, as shown in Fig. 4 A, by for example ald (ALD) or other conformal deposited method, in the gate electrode outer shell 404 that will be preferably can stand the electric conducting material of high treatment temperature (for example, about 1000 ℃) such as WN, W, Pt, highly doped silicon etc. is deposited on the dielectric material layer 402.Outer shell 404 is conductive layers that each the independent line in the resulting devices plays conformal gate electrode effect.
As shown in Fig. 4 B, be the directional etch step after this deposition step, to etch away outer shell 404 parts that overlap on the substrate 401.This can for example carve or contrary sputter by using physical etch such as ion to denude, or by carrying out with suitable wet chemical etchants such as other etchant known to phosphoric acid, hydrochloric acid, nitric acid and/or acetate or those skilled in the art.Subsequently, as shown in Fig. 4 C, substrate is exposed in oxide etching (BOE) steam or wet solution of buffering, time is about 10 seconds to 60 seconds, perhaps for example, about 10 seconds to 30 seconds, to remove the oxide skin(coating) 402 of exposure from substrate surface and the exposed end from nano wire.
Then, the exposure base portion 406 of nano wire 400 for example can be etched away by the following method: growth substrates is impregnated in the wet Si etch bath, perhaps Shi Yi BOE etching (for example, after removing dielectric layer from the exposed end of growth substrates as shown in Fig. 4 C and nano wire, selective oxidation).
The Si acid bath has the benefit of the Si heart yearn of shell 402,404 inside of etching as shown in Fig. 4 D, this when nanowire-junction being incorporated in device architecture such as FET, the diode etc., can help to prevent the plated metal short circuit or outside conduct electricity shell 404 short circuits.As shown in Fig. 4 D, the exposed end of etching of nano line discharges them from growth substrates.Then, growth substrates can be removed from acid bath, perhaps can be used in the suitable alkaline chemical and acid bath, with the process of stopping etching.Then, the nano wire of removing can be dispersed in the solution, and make and to deposit/be applied on the suitable as described further below device substrate.
Should be appreciated that also said process to be applied to other nano-material and other core-shell structure, comprise simpler single core-shell structure, and three layers, four layers and more multi-layered core-shell structure.In growth course, also can change and control the doping and the doping type of core-shell structure neatly, with the character that needing to obtain.
Nano wire is integrated in the device
Synthetic and with nano wire after growth substrates discharges, many application requirements freely the nano wire controlled deposition to another substrate, for example on the electronic substrate, to connect electric contact etc.For example, in some cases, need provide to be deposited on the substrate and to be positioned certain location and/or basically along the directed nano wire films of one or more specific axles.For with nanowire deposition and arrangement or be oriented on the substrate, method in a large number described above comprises that based on the arrangement of flowing wherein flowing has the fluid of nano wire on substrate.Also described and utilized adhesive tab nano wire is adhered to and is stretched to the alternative approach of suitable orientation.According to the present invention, anticipate to typically that based on the improvement of the aligning method that flows for example, as described in the U.S. Patent application No.2003/186522 that announces, this patent application is combined in this by reference.
Particularly, previously describedly on the suitable part that the directional nano line of substrate will deposit, use raceway groove or other fluid conduit systems based on the orientation method that flows.Typically nano wire adheres to or the chemical part of association is handled with helping with the surface.When flowing through raceway groove, nano wire tends to the arranged in-line along streaming flow, thereby it is oriented on the mobile direction.Though very effective, such orientation method requires to use the fluid channel piece, and is more suitable on a small scale.
But the present invention adopts the method for the more large-scale production that is suitable for directional nano line film or substrate more.In a specific embodiment, the fluid suspended body of nano wire is deposited in the cylinder of rotation.Typically, this cylinder is an oriented sideways, thereby can use the fluid of volume much less, but still coated portion cylinder or whole cylinder equably.Then, with substrate for example flexible substrate twine around being placed in than cylinder in swift inside less, thereby the part surface of substrate is contacted with the fluid suspended body of nano wire.Then, make the cylinder rotation, thereby suspended substance flows on the surface of substrate.This flows provides orientation with previously described method par, still, is to carry out on the bigger Substrate Area of flexible material.Although as an example, should be appreciated that also and can use little rigid substrate that condition is that their surface contacts with fluid in the swing roller at some points in rotary course with flexible substrate.
In an alternative arrangement, can be in vertical direction with the cylinder orientation, wherein fluid suspended body is clipped between substrate and the outer wall than swift.Fig. 5 A and 5B illustrate two kinds of representative configuration.As shown in Fig. 5 A, on trunnion axis or axostylus axostyle 502, provide master rotor 500, thereby can rotate.Doffer 504 is inserted in the bigger cylinder 500.In some cases, less cylinder 504 can be fixedly connected on the axostylus axostyle 502 identical with bigger cylinder 500, perhaps actual engagement to bigger cylinder 500, thereby two cylinders rotate together.But, as discussed previously, in some cases, two cylinders are rotated independently.So, interior cylinder 504 can be connected on the independent axostylus axostyle, perhaps can be simply from bigger cylinder 500 independence and being positioned on the bearing separately, thereby it can rotate freely.Substrate 506 is placed on the outer surface of interior cylinder 504 of bigger cylinder 500 inside.
In bigger cylinder, provide a spot of fluid nanowire suspended body 508, present in an amount at least sufficient to make substrate 506 to contact with fluid 508.
Fig. 5 B illustrates similar embodiment, but wherein interior and outer cylinder 500 and 504 is placed on the axostylus axostyle 502 of vertical orientation.In the case, the space of less amount can be provided between two cylinders ideally, thereby make the use amount of fluid minimized.
By behind the fluid suspended body 508 of nano wire, as the result based on the contact of flowing, as shown in the zoomed-in view segment of substrate 506, the nano wire in solution will be deposited on the surface of substrate 506 in directed basically mode in rotation.Described in the U.S. Patent application No.2003/186522 that announces in the arrangement of nano wire on substrate and directed based on the method that flows, this patent application is combined in this by reference and in advance.
In related fields of the present invention, can use the gravure coating machine as shown in Fig. 6 A, thus the large-scale production of favourable directional nano line film on substrate.In this embodiment, on trunnion axis or axostylus axostyle 602, provide main applicator roll or cylinder 600, thereby can rotate solution 604 by nano wire.Second pressure roller or cylinder 606 are positioned at the opposite side of home roll 600, and are configured on trunnion axis or axostylus axostyle 608 with the direction rotation identical or opposite with home roll 600.Substrate 610 that will be applied transmits around pressure roller 606, and by the nano wire solution coat in the nip 612 that between roller 600 and substrate 610, forms.
After the fluid suspended body 604 of nano wire is passed through in main applicator roll 600 rotations, as result based on contact of flowing and the operation of the shearing between substrate and gravure roller surface, as with reference to shown in the figure 6B, the nano wire in the solution will be deposited on the surface of substrate 610 in directed basically mode.This relative operation helps the nano wire coating material of constant basis is transferred on the substrate 610 from gravure applicator roll 600, thereby with directed basically nano wire coated substrate.
Coating material can be made up of the nano wire in The suitable solvent, perhaps other stabilizer, adhesive, surfactant etc. can be arranged, and they can be used for producing suitable nano wire coating.Can carry out the metering of nano wire coating solution by the gravure roller in the mode of routine.
Be to be understood that, the coating machine of other type except that direct or trans gravure coating machine can be used for nano wire is rolled onto the surface of substrate, include but not limited to, rod coater, difference offset printing gravure coating machine, miniature gravure coating machine, trans roll-coater, 3 roll coaters, saturated coating machine, hot melt coater, split the film coating machine, cutter formula coating machine, dip coaterd, long and narrow die coater, the slip coating machine, blade coating machine, Meyer (Meyer) rod and the relevant coating machine of Meyer rod, and other known roll coater of those skilled in the art.Can also use various coating processes, as hot melt coating, pressure-sensitive coating, polyvinyl chloride resin, scraper subordinate moves or Meyer rod coating, and other coating technique or machine, in coating process, can produce liquid flow by them, thereby realize suitable nano wire arrangement.
In macroscopical electronics and other application, electronic component sparsely can be arranged on the selection part of substrate surface.For example, the U.S. Patent Application Serial Number 10/674 of the common unsettled and common transfer that name is called " Large-Area Nanoenabled Macroelectronicsubstrates and Uses Therefor ", submitted on September 30th, 2003,060 has described: the method and system that is used for high-performance large area film electronic equipment, these electronic equipments provide the Electronic Performance of silicon wafer, but can be on large tracts of land, at low temperature, on the flexiplast substrate, process.Wherein said substrate is in conjunction with the deposition film of semiconductor nanowires wherein, and is configured to operate as transistor (or other electronic device such as diode etc.).In these are used, only need nano wire to be positioned at formation semiconductor device (for example, transistor, diode etc.) allocation place really.The nano wire that is used to make these electronic components can be deposited on equably the surface of substrate, but the unactual any nano wire that is incorporated in the electronic device is " waste ".Possible is, can the nano wire that these are outside remove and recirculation to reduce cost, still,, can realize more direct cost savings with the pattern deposition process that does not wherein deposit outside nano wire.
For example, at the coiled material base (for example, roll-to-roll) in the processed and applied, can on device substrate, nano wire film be formed pattern by following method: use gravure coating machine 700 with " strip " pattern, wherein as shown in Figure 7A and 7B, with the serial striped 702 on the coiled material travel direction, arranged the film of nano wire is formed pattern.As shown in Fig. 7 B, use these strip gravure coating machine 700, in the device substrate 704 that is used for the nano wire base device, provide and serial striped 702 corresponding nano wire strip patterns 712.By the saving of strip interval and width decision nano wire, 100 microns the width of fringe that for example is spaced apart 1mm can make the use amount of nano wire reduce by 75% to 90% (or more).
Can realize using the streak of coiled material coating machine such as gravure coating machine by a large amount of methods, thereby (for example provide alternately smooth and texture region, correspond respectively to non-deposition and deposition region), with the nano wire of arranging with the striped deposition in their place of needs.If (for example use the gravure coating machine, aforesaid direct or trans gravure coating machine), this has the advantage of arranging nano-wire, can be by for example only will be where the part of gravure roller 700 being formed texture at striped, perhaps handle, carry out streak by the gravure roller in the zone between striped being carried out lyophoby.Adopt slot coated, can between striped, close slit.Alternatively, nanowire deposition can be uniformly, still, carries out streak with coiled material under pattern scraper that deposits or the Meyer rod.
In addition, according to other aspects of the invention, for example, can use low cost, low temperature process, comprise little contact, offset printing or ink-jet printing technology, by solution with the specific pattern position of nanowire deposition on the large tracts of land substrate.In one embodiment, for example, ink-jet printing technology can be used for simultaneously the nano wire on substrate surface is formed pattern and further plated metal China ink, described metallic ink forms electrode structure around the isolated area of depositing nano line.The metallic ink electrode can be used for for example the nano wire on substrate surface being carried out the static arrangement.
Do like this, ink-jet printer can be used for two kinds of materials are printed on the substrate surface: contain " China ink " or the film of nano wire, it is needing the ad-hoc location of nano wire, forms pattern on substrate; With the conducting metal China ink, it will form the electrode of the isolated area of contiguous or the nano wire that contact deposits.The substrate that is printed on nano wire on it can be flexible or rigidity, and can be web form or sheet form (for example, be used for package and enter processed and applied) or other any suitable substrat structure.Preferably, the nano wire solution of printing comprises water unmixability, the hydrophobic liquid of the nano wire that contains suspension, and conducting liquid can comprise water (or other solution), comprises ion, conducting polymer etc. giving its conductivity, or other hydrophily conducting liquid.
Alternatively, the nano wire China ink can be the aqueous solution, and metallic ink can be a hydrophobic liquid.Under any circumstance, nano wire is preferably mutually immiscible with conductive ink, does not significantly mix each other and diffusion thereby do not exist.Can make the nano wire ink zone of crossing each deposition can produce electric field with pattern form depositing electrically conductive liquid.So, can apply the electromotive force between two or more electrodes, with the nano wire on the substantial alignment substrate surface.Can adopt adhesion promoter such as APTES ((3-aminopropyl) triethoxysilane) or can de-protected adhesion promoter to improve the deposition and/or the association of nano wire and substrate surface; perhaps can use other chemical part or adhesive, to determine nano wire in position.If desired, can be used for further processing so form nano wire pattern, alignment by rinsing or evaporative removal electrode.
In other embodiments, by using as mentioned above similarly method, offset lithography can be used for from the teeth outwards nano wire is formed pattern.For example, the nano wire that is suspended in hydrophobicity (or hydrophily) China ink can be coated to the substrate surface that forms pre-pattern with hydrophobicity and hydrophilic region.Nano wire solution makes hydrophily (or hydrophobicity) zone moistening, then, is coated with this plate with hydrophily (or hydrophobicity) conducting metal China ink (or water), and it is coated with not humidification zones.Once more, can be used for being arranged in nano wire on the substrate surface with crossing electric field that the conductive ink zone applies.
Alternatively, can make water (or other conducting medium such as silicon rubber) as " electrode ", carry out the arrangement of nano wire,,, cross this electrode pair and can apply electric field and get final product to form electrode pair as long as have enough isolation between the humidification zones.Advantageously, on the substrate surface nano wire is being applied or forming under the situation of pattern with strip form as mentioned above, for example, striped makes water electrode isolate mutually naturally, thereby can between the fringe area of nano wire, apply electric field, so that they are arranged from the teeth outwards.Then, water can be evaporated from the surface, stay the nano wire of the formation pattern of alignment, described nano wire can be used for further processing.
Also providing a kind of herein is used in the alternative approach that receives the pre-directed nano wire of deposition on the substrate.Particularly, the present invention is used for nano wire and is passing the hole oriented growth that growth substrates is settled, then use for example punching or hubbing machinery the nano wire of orientation is extruded or " punching " to receiving on the substrate.
As described, method of the present invention adopts the substrate that has nano wire, and described substrate provides the directional nano that is placed in matrix line-group, and described matrix is placed in again in the hole of passing the substrate arrangement.Settle the contiguous substrate that receives of substrate via, for example need to make the electronic substrate of nano wire base device, and drift or die are applied to the opposition side of matrix, pass this hole and the nano wire of orientation is appended to receive on the substrate.Degree as required can be used follow-up processing, to remove any host material that remains on the directional nano line that receives on the substrate.
The aspect that this part of the present invention is novel especially not only relates to the directional nano line from first substrate-transfer to the process for stamping that receives on the substrate, but also relates to the method that the directional nano line is provided in the hole of first substrate.Particularly, by grow nanowire on the opposed inner walls of elongated hole of passing the growth substrates arrangement or slit, people can provide the nanometer line-group of and/or alignment directed basically across hole width.
Fig. 8-10 schematically illustrates the present invention's overall process in this respect.According to the present invention and as shown in Figure 8, provide first substrate 800, it has the one or more holes 802 by its arrangement.Aspect preferred and as directed, provide hole 802 as passing elongated open or the slit that first substrate 800 is settled, thereby make the amount maximization in the inner wall surface zone 804 in the hole, described surf zone 804 is parallel to given axle, for example the main shaft orientation of elongated hole 802.
As shown in Figure 9, on the inner wall surface 904 in hole 802, provide nanometer line-group 906 at least.Inner wall surface 904 is parallel to axle 910 operations, this along the hole 902 length extend (referring to, the dotted line among Fig. 9).Each end of 902 in the hole, inner wall surface 904A is perpendicular to axle 910 operations.As directed, the nanometer line-group typically extends on the normal direction on the plane of inner wall surface 904 and 904A.Because the hole is elongated, most of inner wall space is parallel to an axle orientation, and crosses or perpendicular to this orientation from the big portion nano wire of these wall spatial extensions.
Provide the nanometer line-group to comprise that typically nano wire is in these lip-deep original place growths in the direction of extending from inner wall surface.Particularly, use the VLS method grow nanowire of catalyst driven, wherein gold colloid (or gold thin film) is deposited on the surface of suitable nanowire growth on it.Under the situation of gold colloid, by using high temperature VLS method, gold colloid forms eutectic with the gas phase semiconductor precursor that is used for nano wire, and causes crystal formation, and the diameter of described crystal and gold colloid is suitable.Under the situation of gold thin film, this high temperature process typically makes the fusing of golden film and coalescently becomes discrete drop, and described drop forms eutectic with the gas phase semiconductor again, and causes crystal and form (and nanowire growth).
Nanowire growth can preferentially provide at these inwalls, and perhaps it can provide on the whole surface of growth substrates equably.In order preferentially to provide catalyst in inner wall section, people can will for example only be coated to interior section as the described elsewhere adhesion promoter of this paper.Alternatively, for example by using the guiding suspended substance only by the fluid manifold in hole, people can make the suspended substance of catalyst particle only contact with inner wall section simply.Alternatively, people can be coated with entire substrate, optionally remove the not catalyst in the hole then.This is optionally removed and can carry out with Mechanical Method, and for example, by scraping, or it can the lithographic plate method carry out, for example, and by with this hole of anti-etching filling, and chemically or by using The suitable solvent to remove the catalyst of exposure.As will be described, photoresist can be used for all surface of coated substrate, then photoetching is removed at other lip-deep resist of growth substrates.
In case prepare the growth substrates that in the hole, has directed basically nano wire, for example, as shown in Figure 9, can be with its further processing, to remove any nano wire or other fragment from its surperficial other parts.For example, be not limited under the situation of hole inwall in the growth of nano wire, can be aptly from other surface removal nano wire of substrate.
In order to help the mode of nano wire to adhere to orientation, for example, under the situation of still less destroying or separating, be transferred to the reception substrate from growth substrates, can aptly the nano wire in the hole be enclosed in film or other composite interstitial substance.For example, people can introduce polymeric material in the hole, with the nano wire in encirclement and the blind hole.The photoresist of processing benefit can adopt a large amount of polymeric materials, preferably include resist, as can also be provided.For example, by nano wire is enclosed in the photoresist, people can by these other zones of exposing, thereby remove resist easily by developing at other local resist of substrate from other all surfaces.Commercially can obtain a large amount of different eurymeric or negative type photoresist.
Except can be optionally further the other parts of process substrate, resist also plays nano wire is encapsulated in the hole, is used for transfer process, for example, nano wire is transferred to the reception substrate from growth substrates.The process schematic diagram that is used to carry out this transfer has been shown among Figure 10.As directed, for example, provide the growth substrates 1000 inner wall surface, that be fixed on the nano wire in the hole 1002 that has in polymer substrate or be attached to substrate, described growth substrates and the 1012 adjacent and pairings of reception substrate.Then, die or drift are contacted with nano wire matrix, make the applied pressure amount that nano wire is separated with substrate 1002, and if present, the part of whole matrix is separated with the remainder of matrix.This matrix that will contain the quantitative nano line is effectively gone out to from hole 1004 and is received on the substrate 1012, thus as in the enlarged drawing by shown in the nanometer line-group 1016, obtain the directional nano line-group receiving on the substrate.
Can be with various shape structure drift or pressing mold, to adapt to needed final application.For example, can provide round tip, with the circular pattern punching of directional nano line to receiving on the substrate.Alternatively, according to final application, it can be rectangle, square or other polygonal shape.Can also change the structure at drift tip, thereby provide material to shift from growth substrates to the best that receives substrate.For example, the tip can have the cup-shaped conformation, thereby provides optimum pressure around the punching press film edge of nano wire, and does not damage the nano wire that inside comprises.Technical staff in film transfer techniques field will recognize, on the basis of this basic transfer method, can put into practice the variation of wide variety of conventional.
Typically, in order to ensure the contact between nano wire and the substrate, cause actual deposition, for example in bonding, coupling or other semifixed association, exist to be used for nano wire is adhered to big metering method on the substrate surface, comprise the dry method on the adhesive surface that wherein nano wire is pressed on the substrate.Under situation based on the deposition that flows, typically adopt the chemical adhesion part, have this surperficial chance of adhesion to guarantee the nano wire that contacts substrate surface.The example of these chemical parts for example comprises, highly charged compound such as polylysine, poly arginine etc., its while and for example glass or silicon and for example semiconductor nanowires association of silicon of solid substrate.
In the mechanical deposit method, for example, in not depositing as scraping arrangement based on shearing or nano wire based on the deposition that flows, in at least one special embodiment, can adopt adhesion promoter such as APTES ((3-aminopropyl) triethoxysilane), to improve the association of deposition and/or nano wire and substrate surface.Other adhesion promoter comprises for example polylysine, poly arginine or other highly charged molecule.
In some cases, can adopt can de-protected adhesion promoter, but for example by using the de-protected group of light chemically as known in the art, thereby can go protection by patterning, make the nano wire location thus.This example of blocking group that goes comprises positive nitro piperonyl (or veratryl) oxygen base carbonyl, comprises NPOC, NVOC, MeNPOC and MeNVOC, and they are described in U.S. Patent No. 6,310, in 189.Except using adhesion promoter for example the APTES, people can also improve the association efficient of nano wire and the substrate surface of processing in solution by the nano wire in the solvent that is provided at suitable polarity, thereby drive nano wire to the substrate of processing.
For instance, disperse (disposed) solvent in relative polarity, i.e. silicon nanowires in 1,2 ethylene glycol or other the pure equal solvent, the silicon face of handling for for example APTES will demonstrate bigger affinity.This result will be the more highdensity nano wire film that is deposited on the substrate surface.
For example, according to method recited above, the nano wire that is deposited on the substrate surface has various application in electronics and other application.But in many application, some composition problems may cause the final utilization difficulty of resulting devices.Particularly, at least one valuable especially application, for example, nano wire is deposited as directed nanometer line-group on the film on the electric substrate.Nano wire will stride across basically at typical electrical device of air source electrode and the drain electrode in transistor, the diode etc. for example.
The top schematic diagram of having described typical nanowires film based transistor with reference to figure 2A.As directed, provide nanometer line-group 208 to stride across source electrode and drain electrode 202 and 204 respectively, the gate electrode that wherein provides crosses the part channel region of the nano wire 208 of nanometer line-group, and described nanometer line-group is by minimizing or gather the conductivity that influences raceway groove.Transistor device is included in the conducting channel part of nanometer line-group and the dielectric layer between the gate electrode, thereby prevents the short circuit between grid and the raceway groove.Use nano wire to be: can in building-up process, dielectric layer directly be combined on the nano wire as an advantage of the conducting channel of this device.
Aspect at least one, after nano wire is synthetic and nano wire when still being attached on their growth substrates, for example, go forward removing and/or deposit to the reception substrate, conformal insulator layer is provided.Though can adopt the method for oxidation of various routines or the deposition process of similar ald in the structure insulating barrier, for example thermal oxidation, environmental oxidation etc. in particularly preferred method, are used for producing oxide skin(coating) with rapid thermal oxidation.Rapid thermal oxidation (" RTO ") typically adopts the temperature higher than conventional thermal oxidation, the time of much shorter.
Typically, according to the present invention, before the RTO method, remove at any natural oxide that exists on their growth substrates or on the nano wire on the resulting devices.This is typically by carrying out of short duration etching step, for example nano wire is exposed in the hydrofluoric acid (HF) to the time that is enough to remove natural oxide layer and any blemish or pollutant, carries out.Though can use based on being etched with of dipping and remove the natural oxide layer,, for example use HF steam or plasma etching and when removing natural oxidizing layer, find to obtain much better device performance when the etching step that uses based on steam.Although be not subjected to any theoretical especially constraint, the etching based on dipping that it is believed that the nano wire substrate makes nano wire grumeleuse on the substrate, flattens or gathers, and its mode makes and prevents to produce highdensity nano wire film on the substrate receiving.
After etching step, be positioned in the RTO chamber by the wafer that will have nano wire, and with the nano wire rapid thermal oxidation, described RTO chamber is typically at N 2Temperature with this chamber in the atmosphere skyrockets to about 500 ℃.Adopt oxidation step, to provide insulator layer on the core conductor wire, described oxidation step provides dielectric layer between nano wire and any gate electrode that provides subsequently or grid electrode layer.Then, in the RTO chamber, at O 2In elevated temperature apace, for example rise to needed temperature, for example>850 ℃ (typically, between 900 and 1100 ℃), and make it place O with 100 ℃/sec 2In the saturated atmosphere several minutes.Then, with this temperature at N 2In be reduced to ambient temperature.Typically, the temperature between 900 and 1100 ℃ obtained about 50 oxide skin(coating)s to about 100 dusts in 1 to 5 minute.Can adopt similar method, remove O by using 2Outer different activities gas comprises as NH 3, N 2O or NO provide nitride or oxygen nitrogen thing shell on the core nano wire.
Alternatively, for example,, can on oxide skin(coating), carry out nitrogenize by after oxidation, this class gas being introduced in the RTO chamber.Similarly, can be with RTO method and the etching step that in " growth-etching-growth " method, replaces combination, purpose be by remove surface contaminant and the defective in silicon nanowires between core nano wire and oxide skin(coating) on every side thereof, provide flawless relatively interface (referring to, for example U.S. Patent No. 6,380,103).Though can carry out this etching step in the RTO chamber, this is normally more unfavorable,, it forms step because may influencing oxide.Typically and described, before oxide forms, carry out the vapor etch step as other place of this paper.
The practicality that the film of nano wire or directional nano line film are used partly depends on the mass production capabilities of nano wire on substrate, and does not take notice of nano wire location thereon especially.The result, though quite a large amount of nano wires will stride across source electrode and drain electrode, in some cases, single nano-wire can only contact with an electrode or another electrode, and under also other situation, can only stride across between gate regions and source electrode or the drain electrode.When making the high-performance electronic device, produce substantial problem in potential electrical connection between source electrode or the drain and gate electrode or short circuit.
For instance, owing to usually use metallic catalyst to come the synthesis of nano line, the residual metal at nano wire one end place may provide the current path that may cause short circuit, for example the gate short in FET etc.Similarly, the open end that is coated with oxide nano wires may provide the short circuit path of nano wire base device.Therefore, in many cases, for example, need the further depositing nano line of processing on substrate, to remove except by any potential current path that may cause gate short the nano wire itself.
Usually, the present invention is used to cover any potential conduction short circuit path.Under the metallic catalyst particle still was attached to situation on the nano wire, this equally typically need remove metal before the exposure conductive path that covering obtains.
Thereby the metal part of nano wire for example latter stage of Jin Dynasty end can be distinguished and optionally remove from nano wire by they are etched away distinctively.For example, under situation, can before any oxidation step, use KI with the most advanced and sophisticated silicon nanowires of gold 2Or bromine and etch away this tip.This optionally etches away most advanced and sophisticated and the semiconductor nanowires below the not etching of gold.Be to be understood that as those skilled in the art, use similar techniques, can optionally remove the metallic catalyst of other type.
Partly expose following semiconductor in case remove metal, perhaps under the semi-conductive situation that exposes owing to breaking in the process of obtaining or depositing, semiconductor portions need be covered or insulate, purpose is to avoid the short circuit paths that provides potential.In the semiconductor that cover to expose, typically adopt oxidation and/or nitrogenize,, and produce, for example from the SiO of Si nano wire from following surface because oxide coating is effective insulator.Therefore, after the metal part of removing nano wire is divided, use known technology such as environmental oxidation, heat or plasma oxidation, the nano wire that is deposited on the substrate is carried out oxidation and/or nitrogenize.For instance, compare with the end-blocking oxide growth according to the phase, carrying out oxidation after the nitrogenize of nano wire open end will cause: the free radical growth of shell oxide relatively small amount.Then, the optional dopant annealing steps that adopts is to guarantee the insulation fully of previous exposed region.
Some nano wires in the nanometer line-group not exclusively stride across gate electrode with bridging source electrode and drain electrode, for example they are under the situation that gate electrode stops, except oxidation or replace oxidation, can also adopt alternative approach, to prevent the short circuit between nano wire end and gate electrode.For example, in some cases, on nano wire, form after the oxide coating, described nano wire ends at the zone on the gate electrode or the location that will be covered by gate electrode (and for by not covering electrode short circuit potentially of end), people can isotropism and the heart yearn of any exposure of etching optionally, so that recessed end to be provided, thereby avoid directly electrically contacting between the conductive cores of gate electrode and nano wire part.The etchant example comprises KOH, TMAH or XeF 2In addition, if use the etchant of two kinds of materials of etching, can be with catalyst etching and silicon core etching combination.
Figure 11 A and Figure 11 B illustrate in this respect of the present invention, and it has shown the nano wire 1100 that comprises semiconductor core 1102 and oxide shell 1104.As directed, terminal 1106 do not cover with oxide skin(coating), therefore provide electrical short for conformal gate electrode 1110 potentially.But, according to the present invention in this respect, before the conformal electrode 1110 of deposition, isotropic etch step is applied to the nano wire that on substrate, deposits.By adopting this etching step, provide the core 1102 (as shown in Figure 11 B) in the recessed external oxidation thing shell 1104.As a result, shown at etching region 1111, conformal gate electrode 1110 can not make core 1102 short circuits of nano wire 1100.This selective etch step can also be used for preventing the short circuit of gate oxide to nano wire with defective oxide skin(coating).For example find that by optionally being etched in the nano wire in the gate electrode district, people can isotropism and optionally etched away heart yearn in defective oxide region position.Thereby can avoid directly electrically contacting by the defective oxide skin(coating) between gate electrode and the nano wire conductive cores.
What is interesting is, also find, use dry etch such as XeF 2Can from short nano wire (for example, being shorter in length than approximately for example 3 to 5 microns nano wire), remove the silicon core basically, and structurally not damage for the useful longer nano wire of device (for example, length is greater than about 5 microns nano wire).Therefore, can remove for example medium and small in the nano wire of nano wire average length about 80% at given nanometer line-group, for example medium and small in the nano wire of nano wire average length about 50% at given nanometer line-group, for example medium and small in the nano wire of nano wire average length about 20% at given nanometer line-group, for example medium and small in the nano wire of nano wire average length about 10%, to improve overall performance at given nanometer line-group.Dry-etching can also be removed the undesired silicon particle that may pollute device substrate.For example, so, can easily remove be not electrically connected to hard contact such as gate electrode and/or source electrode and drain contact, be deposited on some the short nano wires in the nanometer line-group film on the device substrate, and do not damage other long nano wire.In addition, the silicon core of these undesired littler nano wires of etching can be eliminated the electric capacity relevant with their existence, thereby improves device performance.
Alternatively, the nano wire of deposition (and having oxide skin(coating)) on substrate can be carried out the doping opposite with the core nano wire, thereby provide effective insulator, prevent the short circuit of core and gate electrode thus in the exposed ends of core nano wire.Schematically illustrate in this respect of the present invention among Figure 11 C.As directed, core shell nano wire 1100 is provided on substrate, and ends at and will cover by gate electrode or the place of cover gate electrode.Conformal gate electrode 1110 is coated on the nano wire 1100.But, according to this aspect of the invention, with remaining core nanowire region 1114 end region 1112 of mixing on the contrary.This phase contra-doping prevents that described conduction will cause short circuit by line end 1112 conductions.In building-up process or on substrate, after the deposition, can avoid the different components of short circuit or other problem to be incorporated in the nano wire with being used for.For example, in some cases, the end region that can in the nano wire building-up process, mix and be mixed.In addition, by at nano wire below in conjunction with sacrifice layer, for example described as other place of this paper, or by the release portion of combining nano line in growth course, people can be relatively accurately with respect to such zone, end location of nano wire, for example, this zone can be positioned at from the release district of grown nano wire or the isolated area setpoint distance (referring to, for example the international patent application No.WO 03/085700 of Gong Buing is combined in this with its totality by reference for all purposes).
Use the nano wire preparation to improve the polysilicon of crystal grain quality
Aspect other, the application that the present invention includes the nanometer line-group as the semiconductor channel element of electronic apparatus application described herein.Although whole semiconductor devices are provided as mentioned above, in others, can provide the nano wire film as being used for material modified basis, described material modified again as the conducting channel element.Particularly, by the combining nano line as thereon can deposition of amorphous silicon with the sowing element of after annealing, the nano wire film can form the basis of polysilicon (or other semi-conducting material) film that is used to make high crystal grain quality.
For instance, at present, prepare polysilicon by following method: amorphous silicon film is deposited on the substrate, carry out recrystallization by heating this film then.Can use conventional heating, for example in stove, or use the mode of laser heating method with the part, carry out this heating, described laser heating method is localized heating exactly.Method forms and the crystal grain that obtains is crystallization thus.But along with crystalline size increases, film uniformity reduces, because with respect to any device by its manufacturing, the position of crystal grain is unknown.The present invention addresses this problem as the sowing parts that are used for the formation of polysilicon processing crystal by using semiconductor nanowires, thereby for example, can produce highly elongated crystallization crystal grain, and it strides across source electrode and drain electrode easily.In addition, by sowing such film,, will further improve film uniformity along with it will be used for the device manufacturing in the mode of orientation.Although be described according to silicon nanowires and amorphous silicon, should be understood that, can use various semi-conducting material according to the present invention, for example Ge, InP, InAs, CdSe, CdTe etc.Alternatively, can be individually the dense film of nano wire be used for forming polysilicon film, for example annealing by the nano wire film, and do not use amorphous silicon.
The use of nano wire of the present invention in exemplary means and application
Many electronic devices and system can be in conjunction with the semiconductor with the nano wire film for preparing by method of the present invention or the devices of other type.For illustrative purposes and unrestricted, below or other place of this paper application examples more of the present invention have been described.The film of the nano wire of arrangement or non-arrangement can be comprised in application described herein, and the film of nano wire composite material or non-composite material can be comprised.
Can be with the signal coupling of semiconductor device (or other types of devices) and other electronic circuit and/or can itself and other electronic circuit is integrated.Can on big substrate, form semiconductor device, subsequently this substrate is divided into or be cut into littler substrate.In addition, on big substrate (that is), the semiconductor device that forms thereon can be connected to each other basically greater than the substrate of conventional semiconductor wafer.
Nanowire-junction by method of the present invention preparation can also be incorporated into application at the single semiconductor device of needs neutralizes in a plurality of semiconductor device.For example, be specially adapted to form the large tracts of land macroscopic view electronic substrate of a plurality of semiconductor device thereon by the nano wire of method preparation of the present invention.This electronic device can comprise the display driver circuit that is used for active matrix liquid crystal display (LCD), organic LED display, Field Emission Display.Other active display can be formed by nano wire-polymer, quantum dot-polymer composites (this composite material can play a part reflector and active driving matrix simultaneously).Nano wire by method preparation of the present invention is also discerned (RFID) label applicable to intelligent book shop, credit card, large tracts of land sensor array, rf frequency, comprises smart card, intelligent inventory label etc.
Nano wire by method of the present invention preparation is also applicable to numeral and similar circuit application.Particularly, can be used for need ultra-large integrated application on the large tracts of land substrate for the nano wire by method of the present invention preparation.For example, can in logical circuit, memory circuit, processor, amplifier and other numeral and similar circuit, implement by the nano wire film of method preparation of the present invention.
Nano wire by method preparation of the present invention goes for photovoltaic applications.In these are used, use the obviously photoelectric properties of the substrate raising specific light electric device of conduction.For example, the substrate of this obvious conduction can be used as flexibility, the large tracts of land replacer of indium tin oxide target (ITO) etc.Substrate can be coated with the film of following nano wire, and described nano wire forms and promptly greater than visible light it does not absorbed to have big band gap, but can form HOMO or the LUMO band that aligns with the active material of the photoelectric device that can form to have thereon.Obviously the conductor of conduction can be positioned at the both sides of absorbability photoelectric material to transport the electric current from photoelectric device.Can select two kinds of different nano-materials, a kind of have the HOMO that aligns with the HOMO of photoelectric material band and another kind ofly have a LUMO that aligns with the LUMO band of photoelectric material.Can select the band gap of two kinds of nano-materials, make its band gap much larger than photoelectric material.According to this embodiment, can the light dope nano wire to reduce the resistance of nano wire film, make substrate keep major part not absorb simultaneously.
Therefore, the multiple military articles for use and the consumer goods can be in conjunction with the nano wires by method preparation of the present invention.For example, these commodity can comprise that PC, work station, server, network devices, hand-held electronic devices are as PDA and palm navigator, phone (for example honeycomb fashion and common), wireless device, TV, electronic game and games system, house safety system, automobile, aircraft, ship, other family expenses and business appliance etc.
Conclusion
Exemplary of the present invention has been described.The invention is not restricted to these embodiments.These embodiment are described at this for illustrative rather than restricted purpose.Based on the instruction that comprises at this, replace (comprise described here those equivalence, expansion, change, depart from etc.) should be tangible for those skilled in the relevant art.These replacements fall among the scope and spirit of the present invention.
All publications, patent and the patent application of mentioning in this manual is the statement of the technical staff in the technical field of the invention's technical merit, and be combined in this by reference, as specifically and independently stating independently publication, patent or patent application of each part.

Claims (43)

1. method of obtaining nano wire, this method comprises:
First substrate that deposits first sacrifice layer on it is provided, and described first sacrifice layer can be dissolved by selectivity with respect to first semi-conducting material;
Growing nano line-group on first sacrifice layer, described nano wire comprises first semi-conducting material; And
Selective dissolution sacrifice layer under the situation of not dissolving nano wire is to discharge the nanometer line-group from first substrate.
2. the described method of claim 1, first semi-conducting material that wherein provides is a silicon, and the sacrifice layer that provides is silicon nitride or silicon dioxide.
3. the described method of claim 1, first semi-conducting material that wherein provides is a silicon, and the sacrifice layer that provides is the metal that is selected from tungsten and the molybdenum.
4. method of obtaining nano wire, this method comprises:
Provide and be attached to the lip-deep semiconductor nano line-group of growth substrates;
The nanometer line-group is exposed to etchant, from the nanometer line-group, to remove sacrifice layer; And
Obtain the nanometer line-group from growth substrates.
5. the described method of claim 4, the sacrifice layer that wherein provides is an oxide skin(coating).
6. the described method of claim 4, the sacrifice layer that wherein provides is a silicon nitride layer.
7. the described method of claim 4, the sacrifice layer that wherein provides is the photoresist layer that deposits on substrate.
8. method of obtaining nano wire, this method comprises:
Provide and be attached to the lip-deep semiconductor nano line-group of growth substrates; And
To be exposed to etchant in the base portion selectivity of the nano wire in the nanometer line-group, to discharge the nanometer line-group from growth substrates.
9. method of obtaining nano wire, this method comprises:
Provide and be attached to the lip-deep upright core nanometer line-group of growth substrates;
First hypostracum is deposited on the nanowire core group, and covers the surface of growth substrates;
Deposition second outer shell on first hypostracum, wherein second shell can be differentially etching from first shell;
At least selective etch covers the second outer shell part of substrate surface;
At least selective etch covers the hypostracum expose portion of substrate surface, to be exposed to the end of the core nano wire in the nanometer line-group; And
The end of etching core nano wire is to discharge nano wire from growth substrates.
10. method with orientation depositing nano line on first surface of substantial alignment, this method comprises:
Provide first surface as cylindrical outer surface;
Placing cylindrical part outer surface contacts with the fluid suspended body of nano wire; And
The rolling circle cylinder, thus make the fluid suspended body of the different piece turnover nano wire of first surface.
11. the described method of claim 10, wherein the fluid suspended body with nano wire deposits to internal diameter greater than in first cylindrical second cylinder, and placing at least a portion first surface contacts with fluid suspended body and comprises: first cylinder is inserted in second cylinder, and in first and second cylinders at least one centered on the first or second cylindrical main axis rotation respectively.
12. the described method of claim 11, wherein first and second cylinders are with different speed or with different direction rotations.
13. one kind is coated with the method for nano wire with the orientation of substantial alignment on the first surface of substrate, this method comprises:
Applicator roll with outer surface and axle is provided;
Applicator roll around its axle rotation, is contacted with the fluid suspended body of nano wire with the part outer surface of placing roller at least; And
With respect to the first surface of applicator roll translation substrate of rotation, with first surface with the fluid suspended body coated substrate of nano wire.
14. the described method of claim 13, wherein applicator roll rotates in the opposite direction with the side that moves with substrate.
15. the described method of claim 13, the wherein direction identical direction rotation of applicator roll to move with substrate.
16. one kind is coated with the method for nano wire with the orientation of substantial alignment on the first surface of substrate, this method comprises:
Applicator roll is provided, and described applicator roll has outer surface, axle and be arranged in the fluid suspended body of nano wire of serial striped on the roller outer surface; And
With respect to the first surface of applicator roll translation substrate of rotation, thus on the first surface of substrate with the first surface of corresponding serial striped with the fluid suspended body coated substrate of nano wire.
17. one kind is coated with the method for nano wire with the orientation of substantial alignment on the surface of substrate, this method comprises:
Use ink-jet printer, with the zone of one or more formation patterns, deposition comprises the solution of many nano wires on the surface of substrate;
Use ink-jet printer, the area deposition that crosses one or more formation patterns comprises one or more electrode pairs of conducting metal China ink; And
Between described one or more electrode pairs, apply electric field, thereby in the zone of one or more formation patterns, be aligned in the lip-deep nano wire of substrate statically.
18. the described method of claim 17, wherein dispersing nanowires in comprising the solution of hydrophobic liquid.
19. the described method of claim 18, the conducting metal China ink that wherein provides is the aqueous solution.
20. one kind is coated with the method for nano wire with the orientation of substantial alignment on the surface of substrate, this method comprises:
Surface to substrate with hydrophobicity and hydrophilic region forms pattern;
The nano wire solution deposition that will comprise many nano wires is on the surface of substrate, and wherein nano wire solution has affinity to one in hydrophobicity or the hydrophilic region;
Depositing electrically conductive solution on substrate, wherein said conducting solution has affinity to the hydrophobicity of substrate or in the hydrophilic region another, and one or more zones of crossing the nano wire of deposition form one or more electrode pairs; And
Between described one or more electrode pairs, apply electric field, thereby be aligned in lip-deep many nano wires of substrate statically.
21. the described method of claim 20, wherein nano wire solution has affinity to the water repellent region of substrate, and conducting solution has affinity to the hydrophilic region of substrate.
22. the described method of claim 20, wherein nano wire solution has affinity to the hydrophilic region of substrate, and conducting solution has affinity to the water repellent region of substrate.
23. the described method of claim 20, the conducting solution that wherein provides are the conducting metal China inks.
24. the described method of claim 20, the nano wire solution that wherein provides is the aqueous solution that wherein is dispersed with many nano wires.
25. the described method of claim 20, this method also comprises: make nano wire form pattern with serial striped on substrate surface.
26. the method for a depositing nano line on the first surface of first substrate, this method comprises:
Be provided at the nano wire of many substantial alignment of the hole internal fixation that passes the substrate arrangement;
Be adjacent to place second substrate with the first surface of first substrate; And
The nano wire of settling in the Xiang Zaikong applies power, thereby in the mode of substantial alignment nano wire is transferred on the first surface of first substrate.
27. the described method of claim 26, the wherein said step that provides comprises: provide and pass the elongated hole that second substrate is settled, described elongated hole has at least two relative basically inwalls, and grow nanowire at least two relative basically inwalls is to be provided at many nano wires of hole internal fixation.
28. the described method of claim 26, this method also comprises: the many nano wires in the hole are fixed in the host material.
29. the described method of claim 28, the host material that wherein provides is a polymer substrate.
30. the described method of claim 26, this method also comprises: the colloid catalyst particle grow nanowire that provides from the inwall in the hole.
31. the described method of claim 26, wherein the step that applies power of the nano wire in the hole comprises that guiding punching member passes described hole.
32. the described method of claim 31, this method also comprises: use flat tip as the punching member of exerting pressure to many nano wires.
33. the described method of claim 31, this method also comprises: use to have the cup-shaped tip of circular cross section or rectangular cross section as the punching member of exerting pressure to many nano wires.
34. the described method of claim 26, this method also comprises: second substrate is moved with respect to first substrate, and repeat described placement and apply step.
35. a method that prevents gate short in the nano wire based transistor, this method comprises:
The nanometer line-group is provided at least, and wherein the subclass of nanometer line-group is crossed over source electrode and drain electrode, and described nanometer line-group comprises the semiconductor core zone that prolongs nanowire length and prolongs the dielectric shell zone of nanowire length;
Between source electrode and drain electrode and any nanometer line-group that is in contact with it, provide ohmic contact; With
Etch away not core zone distinctively with the nanometer line-group of source electrode and drain electrode ohmic contact.
36. a method of removing the nano wire subclass from the device substrate surface, wherein every nano wire has less than the length of about 5 nanometers and with film nano line-group form and is deposited on the substrate, and this method comprises: with XeF 2Etchant is coated on the nanometer line-group, removes the subclass of nano wire with selectivity.
37. a method of removing the silicon particle that depollutes from the surface of device substrate, this method comprises: with XeF 2Etchant is coated on the surface of substrate, removes the silicon particle with selectivity.
38. a device substrate, it comprises as the nanometer line-group of thin film deposition on it, wherein from it selectivity removed average length less than the nano wire subclass in about 50% the nanometer line-group of the line in the nanometer line-group.
39. a nano wire, one or more outer shells that it comprises semiconductor core and settles around described core are in the recessed described one or more outer shells of the described semiconductor core that wherein provides.
40. the described nano wire of claim 39, wherein with respect to one or more shells, described semiconductor core one or morely terminal is fallen by selective etch partly at it.
41. the described nano wire of claim 39, wherein said one or more outer shells comprise the oxide shell.
42. the described nano wire of claim 41, wherein said semiconductor core comprises silicon.
43. the described nano wire of claim 41, it also is included in the metal shell that deposits on the described oxide shell.
CNA2005800299120A 2004-07-07 2005-04-29 Systems and methods for harvesting and integrating nanowires Pending CN101124659A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US58617004P 2004-07-07 2004-07-07
US60/586,170 2004-07-07
US60/605,454 2004-08-30
US60/653,574 2005-02-16

Publications (1)

Publication Number Publication Date
CN101124659A true CN101124659A (en) 2008-02-13

Family

ID=39086099

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2005800299120A Pending CN101124659A (en) 2004-07-07 2005-04-29 Systems and methods for harvesting and integrating nanowires

Country Status (1)

Country Link
CN (1) CN101124659A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103915484A (en) * 2012-12-28 2014-07-09 瑞萨电子株式会社 Field effect transistor with channel core modified for a backgate bias and method of fabrication
CN107469476A (en) * 2016-06-07 2017-12-15 杨国勇 Fluid treating device
CN108701710A (en) * 2016-02-29 2018-10-23 三星显示有限公司 The nanometer rods for manufacturing the method for nanometer rods and being manufactured by this method
CN110730760A (en) * 2017-03-08 2020-01-24 耐诺维尔德有限公司 Apparatus and method for providing a plurality of nanowires
CN114736620A (en) * 2022-06-15 2022-07-12 深圳市卓汉材料技术有限公司 Shielding adhesive tape, preparation method thereof and shielding structure

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103915484A (en) * 2012-12-28 2014-07-09 瑞萨电子株式会社 Field effect transistor with channel core modified for a backgate bias and method of fabrication
CN108701710A (en) * 2016-02-29 2018-10-23 三星显示有限公司 The nanometer rods for manufacturing the method for nanometer rods and being manufactured by this method
CN108701710B (en) * 2016-02-29 2021-10-26 三星显示有限公司 Method of manufacturing nanorod and nanorod manufactured by the same
US11450737B2 (en) 2016-02-29 2022-09-20 Samsung Display Co., Ltd. Nanorod production method and nanorod produced thereby
CN107469476A (en) * 2016-06-07 2017-12-15 杨国勇 Fluid treating device
CN110730760A (en) * 2017-03-08 2020-01-24 耐诺维尔德有限公司 Apparatus and method for providing a plurality of nanowires
CN110730760B (en) * 2017-03-08 2023-11-21 耐诺维尔德有限公司 Apparatus and method for providing a plurality of nanowires
CN114736620A (en) * 2022-06-15 2022-07-12 深圳市卓汉材料技术有限公司 Shielding adhesive tape, preparation method thereof and shielding structure
CN114736620B (en) * 2022-06-15 2022-11-15 深圳市卓汉材料技术有限公司 Shielding adhesive tape, preparation method thereof and shielding structure

Similar Documents

Publication Publication Date Title
US7339184B2 (en) Systems and methods for harvesting and integrating nanowires
US7741197B1 (en) Systems and methods for harvesting and reducing contamination in nanowires
EP1966847B1 (en) Methods for oriented growth of nanowires on patterned substrates
CN101573778B (en) Systems and methods for nanowire growth
CN101010780B (en) Systems and methods for nanowire growth and harvesting
JP5606905B2 (en) Method and system for printing oriented nanowires and other electrical elements
US7785922B2 (en) Methods for oriented growth of nanowires on patterned substrates
EP1871162B1 (en) Nanowire dispersion compositions and uses thereof
AU2006252815A1 (en) Light emitting nanowires for macroelectronics
JP2007535412A (en) Systems and methods for nanowire growth and fabrication
JP2013514193A (en) Nanoparticle deposition
CN101124659A (en) Systems and methods for harvesting and integrating nanowires
KR20070032360A (en) Systems and methods for harvesting and integrating nanowires
KR20180043066A (en) Nanowire manufacturing master mold, nano device and method of fabricating of the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication