CN101101876A - Curing dielectric films under a reducing atmosphere - Google Patents

Curing dielectric films under a reducing atmosphere Download PDF

Info

Publication number
CN101101876A
CN101101876A CNA2007101421934A CN200710142193A CN101101876A CN 101101876 A CN101101876 A CN 101101876A CN A2007101421934 A CNA2007101421934 A CN A2007101421934A CN 200710142193 A CN200710142193 A CN 200710142193A CN 101101876 A CN101101876 A CN 101101876A
Authority
CN
China
Prior art keywords
exposed
composite membrane
silane
energy source
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2007101421934A
Other languages
Chinese (zh)
Inventor
S·J·韦格尔
M·L·奥尼尔
R·N·夫尔蒂斯
M·K·哈斯
E·J·小卡沃基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of CN101101876A publication Critical patent/CN101101876A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

The present invention provides a process for forming a porous dielectric film, the process comprising: forming onto at least a portion of a substrate a composite film comprising Si, C, O, H and Si-CH 3 groups, wherein the composite film comprises at least one silicon-containing structure-forming material and at least one carbon-containing pore-forming material; and exposing the composite film to an activated chemical species to at least partially modify the carbon-containing pore-forming material, wherein at least 90% of Si-CH 3 species in the as deposited film remains in the film after the exposing step as determined by FTIR.

Description

Curing dielectric films under reducing atmosphere
The cross reference of related application
According to 35 U.S.C. § 119 (e), the application requires to enjoy the U.S. Patent Application Serial No.60/816 that submits to as far back as on June 27th, 2006,896 priority, and its disclosure is introduced with for referencial use in full at this.
Technical field
Present invention relates in general to the formation of perforated membrane.More specifically, the present invention relates to have low dielectric constant porous material and comprise the film of this porous material and their preparation method.
Background technology
Being increased in such as the current densities in the multistage integrated circuit (IC)-components of memory and logic chip, thereby improving service speed and reduce energy consumption, is need to continue in microelectronics industry.In order to continue to reduce size of devices on the integrated circuit, the demand of the capacitive crosstalk of prevention between metallization not at the same level becomes and becomes more and more important.Above-mentioned needs can be expressed as " RC " by summary, and wherein " R " is the resistance of conducting wire, and " C " is the electric capacity of insulative dielectric interlayer.Electric capacity " C " is inversely proportional to between-line spacing and is directly proportional with the dielectric constant (k) of interlayer dielectric (ILD).Such dielectric materials is as for example the dielectric layer or the intergrade dielectric layer of pre-metallization are expected.
Undoped silicon dioxide glass (the SiO that is called " USG " in this article subsequently 2), because comparing with other inorganic material, it has about 4.0 relatively low dielectric constant, in integrated circuit, be used as main insulating material for a long time.The industrial trial produced the silica-based materials that has than low-k by organic or other material are incorporated in the lattice silicate.For example, can obtain 2.7 to 3.5 dielectric constant in the lattice silicate by being incorporated into such as the end group of fluorine or methyl.These materials are deposited as dense film (density~1.5g/cm usually 3), and use the processing step that is similar to the formation usg film to be integrated in the IC device.
Because the dielectric constant of air is nominally 1.0, can be the density of introducing porousness or reducing material so reduce the another kind of method of material dielectric constant.Compare with relative fine and close film, can show lower dielectric constant when dielectric film is made as porous.
Porousness is introduced in the dielectric materials by various distinct methods.For example, can the part film causes having the porousness of increase in film and the density of reduction is introduced porousness by decomposing.May need other manufacturing step to prepare porous membrane, making has finally increased time and the energy in the manufacturing process.Time and energy minimization that these films of manufacturing are needed are desired; Therefore it is highly favourable finding the material that can easily handle or making the minimized technology of selecting of processing time.
The widely used method in the film of in the literature porousness being incorporated into is a thermal annealing decomposing at least a portion of film, thereby produces aperture and the final dielectric constant that reduces.In annealing steps or curing schedule, film is heated to decomposition usually and/or removes volatile component, and makes film crosslinked basically.United States Patent (USP) 6,312,793 have described a kind of heterogeneous material, it have basically by Si, C, O and H form first mutually, basically by C and H form second mutually and diversified aperture.Material randomly is heated at least 300 ℃ temperature and at least 15 minutes time of heating, thereby causes removing one of them phase.Disclosed patent application WO 00/02241 has described under 100 to 400 ℃ temperature heating alcoxyl 1 to 10 minute time of silane, thereby causes the formation of aperture by removing the solvent that wherein contains.Disclosed patent application WO 02/07191A2 has described the temperature range that the silicon dioxide zeolite membrane is heated to 350 to 550 ℃ in the time of nonspecific amount, thereby the material that causes adsorbing leaves zeolitic frameworks, has therefore reduced dielectric constant.
The curing schedule of most of above-mentioned arts demands under 300 ℃ or higher temperature and 30 minutes or longer time.Main concern in low dielectric film production may be total heat budget of IC device.Therefore, because unwanted diffusion process, the various assemblies of IC device for example Cu metal wire only can stand the treatment temperature of short time before their performance degradation.
Ultraviolet (" the UV ") line that is to use of alternative thermal annealing or curing schedule combines with oxygen-containing atmosphere, thereby produces aperture and reduce dielectric constant in material.List of references, Hozumi, " the LowTemperature Elimination of Organic Components fiom Mesostructured Organic-Inorganic Composite Films Using Vacuum Ultraviolet Light " of A etc., Chem.Mater.2000Vol.12, pp.3842-47 (" Hozumi I ") and Hozumi, " the Micropatterned Silica Films withOrdered Nanopores Fabricated through Photocalcination " of A etc., Nanoletters 2001,1 (8), PP.395-399 (" Hozumi II ") has described and has used ultraviolet (" VUV ") line (172nm) to remove hexadecyltrimethylammonium chloride (CTAC) pore former in the presence of oxygen from tetraethoxysilane (TEOS).List of references Ouyang, " the Conversion of Some Siloxane Polymers to Silicon Oxide byUV/Ozone Photochemical Processes " of M, Chem.Mater.2000,12 (6), PP.1591-96 has described and has used 185 to 254nm UV light original position to produce ozone, thus carbon side group and form SiO in poly-(siloxanes) film of oxidation 2Film.List of references Clark, T. " the A New Preparation of UV-OzoneTreatment in the Preparation of Substrate-Supported Mesoporous Thin Films " that waits, Chem.Mater.2000,12 (12), pp.3879-3884 has described and used wavelength is that 187 to 254nm UV light produces ozone and elemental oxygen, thereby removes the organic substance in the TEOS film.Unfortunately, these technology may be retained in the key in the material and influence the gained film unfriendly by chemical modification.For example, these materials are exposed to the oxidation that can cause being included in C atom wherein in the oxidation atmosphere, these dielectric property to material have adverse effect.
United States Patent (USP) 6,284,500 have described the UV light that uses 230 to 350nm wave-length coverages with photo-initiated crosslinking in organic polymer films that forms by CVD or the organosilicon sesquichloride film that forms by rotating and depositing, thereby improve the bonding and mechanical performance of film.' 500 patents instruction thermal anneal step can be used for making crosslinked film stabilisation.
Laid-open U.S. Patents application 2003/054115 has been instructed and the porous dielectric material of being produced by CVD or rotating and depositing method is carried out UV has been solidified, thereby produces the curing porous dielectric material of UV-of modulus with improvement and comparable dielectric constant.The disclosure material evidence bright with at N 2UV in the atmosphere exposes and compares, at O 2In the atmosphere UV expose more effective.Yet the disclosure thing also instructs UV to solidify the polariton substance that can produce significant quantity in porous dielectric material.In addition, the disclosure thing has put down in writing that " in all cases, in order to remove the Si-OH key that usually produces during the UV curing process, the annealing steps that maybe may follow afterwards is essential.”
United States Patent (USP) 6,566,278 instructions are by being exposed to film the silica (SiC that the UV radiation is mixed carbon xO y) the film densification.By the gas of supply oxygen and the chemical vapour deposition (CVD) of supplying the organo-silane gas of silicon, the silicon oxide film that carbon mixes obtains deposition.Then this film is exposed to by such as xenon, mercury, deuterium or KrCl 2The UV radiation that produces of active gases material.
U.S. Patent Application Publication 2004/0096593 and 2004/0175957 for example provides low temperature process, to remove organic pore-forming phase with the UV line under non-oxide condition, improves the mechanical performance of final perforated membrane simultaneously.Can use the different technologies deposited film that comprises CVD and rotation.
Although be useful, the UV curing process of prior art does not have not enough.For example the UV curing process of prior art during curing adopts the atmosphere that comprises inert gas and/or vacuum usually.Under such condition, on the window of chamber and wall, collect degassing residue from film.Material on window further absorbs other critical wavelength that this technology may need in addition usually.In this, it is difficult removing this material, and often needs strict and long clean conditions, for example cleans in oxidation environment.
Therefore need more efficient methods to produce the porous low dielectric film in the art, this method improvement removing of pore former, thereby avoided above-mentioned defective workmanship.
Summary of the invention
The present invention satisfied improve pore former in this area remove technology to form the needs of porous low dielectric film.Particularly, this need be met by the method that forms the porous dielectric film is provided, and this method comprises: formation comprises Si, C, O, H and Si-CH at least a portion of substrate 3The composite membrane of group, wherein composite membrane comprises that at least a siliceous structure forms the pore-forming material of material and at least a carbon containing; And composite membrane is exposed to active chemistry, thereby modification carbon containing pore-forming material is partly wherein measured at least 90% Si-CH in the film of deposition like this by FTIR after exposing step 3Material is retained in this film.
On the other hand, the invention provides the method that forms perforated membrane, this method comprises: formation comprises Si, C, O, H and Si-CH at least a portion of substrate 3The composite membrane of group, wherein said composite membrane comprise that at least a siliceous structure forms the pore-forming material of material and at least a carbon containing; And described composite membrane is exposed at least a energy source and a kind of active chemistry simultaneously.
On the other hand, the invention provides the method for the carbon in the modification dielectric film, described method comprises: formation comprises Si, C, O, H and Si-CH at least a portion of substrate 3The composite membrane of group, wherein said composite membrane comprise that at least a siliceous structure forms the pore-forming material of material and at least a carbon containing; Described composite membrane is exposed to time of at least a portion that at least a energy source and one section of active chemical substance be enough at least a carbon containing pore-forming material of modification synergistically forming perforated membrane, and wherein at least a portion by carbon in the Modified Membrane forms carbonaceous residue; Thereby and perforated membrane is exposed to active chemistry removes carbonaceous residue at least in part.
Following the inventive method is by improving removing of at least a carbon containing pore-forming material, at least a portion of having removed at least a carbon containing pore-forming material especially effectively; Reduced the formation of material on the window of chamber and wall; Reduced the needed time of clean room; By promote increasing the component of film dielectric constant, comprise removing of pore-forming material, the performance of having improved film is dielectric constant for example; And can allow curing process to carry out at a lower temperature.
Description of drawings
Fig. 1 has shown the heated filament CVD device that the present invention uses; With
Fig. 2 a to 2c provides the explanation of each step of one embodiment of the invention, wherein is exposed to UV radiant energy and active chemistry simultaneously and causes aperture to form in film.
Embodiment
The present invention relates to porous low dielectric material and film, for example in integrated circuit, be used as those preparation of interlayer dielectric.Particularly, thus the present invention relates to the method for removing that carbonizable substance in the modification composite membrane improves the modification carbonizable substance with the dielectric constant that reduces material or film.Term used herein " modification " is meant the variation of form and/or amount.Therefore, if carbonizable substance is by " modification " according to the present invention, then it can be removed at least in part or can for example may be formed in addition or disconnect by electronation or key at least in part.The typical carbonizable substance of the present invention comprise below the carbon containing pore-forming material that will describe in detail and by energy source the carbon containing pore-forming material may be formed from the process that composite membrane is removed and be retained in carbonaceous residue in the film.Carbonizable substance according to the present invention in the film of modification also can be during the structure electronic device at the carbon that any following process of dielectric film is introduced in the process of making raceway groove or passage.This can comprise photoresist, wet-chemical reextraction component (corrosion inhibitor, salt, ion buffer, pH buffer, solvent etc.), CMP pulp components, CMP cleaning and other cleaning step.
The invention provides the method that forms the porous dielectric film, this method comprises: formation comprises Si, C, O, H and Si-CH at least a portion of substrate 3The composite membrane of group, wherein said composite membrane comprise that at least a siliceous structure forms the pore-forming material of material and at least a carbon containing; And thereby described composite membrane is exposed to active chemistry modification carbon containing pore-forming material at least in part, wherein after exposing step, measure at least 90% Si-CH in the film of deposition like this by FTIR 3Material is retained in this film.In some embodiments of the present invention, porous organic stone glass-film also comprises fluorine.In preferred embodiments, perforated membrane is by formula Si vO wC xH yF zThe porous organic stone glass-film that the individual event material of expression is formed, v+w+x+y+z=100% wherein, v is 10 to 35 atom %, w is 10 to 65 atom %, x is 5 to 30 atom %, y is that 10 to 50 atom % and z are 0 to 15 atom %, and wherein said film has aperture and between 2.6 to 1.5 dielectric constant.
Method of the present invention is included in to form at least a portion of substrate and comprises Si, C, O, H and Si-CH 3The step of the composite membrane of group, wherein said composite membrane comprise that at least a siliceous structure forms the pore-forming material of material and at least a carbon containing.Be used for suitable substrate of the present invention and include but not limited to semi-conducting material, such as silicon metal, polysilicon, amorphous silicon, epitaxial silicon, silicon dioxide (" SiO such as GaAs (" GaAs "), boron nitride (" BN ") 2"), the composite material that contains silicon and other the suitable substrate or their mixture of carborundum (" SiC "), siloxicon (" SiOC "), silicon nitride (" SiN "), carbonitride of silicium (" SiCN "), organic silicate glass (" OSG "), organic fluorinated silicone silicate glass (" OFSG "), fluorosilicate glass (" FSG ").Substrate of the present invention can comprise that further film is applied to the various layer on it, for example antireflecting coating, photoresist, organic polymer, porous is organic and inorganic material, such as the metal of copper and aluminium or the diffusion impervious layer of TiN, Ti (C) N, TaN, Ta (C) N, Ta, W, WN or W (C) N for example.Perforated membrane of the present invention preferably can be to be enough to by being with the degree of traditional tension test of tension test to adhere at least a previous materials such as ASTM D3359-95a.
As previously mentioned, composite membrane comprises at least two kinds of materials: at least a siliceous structure forms the pore-forming material of material and at least a carbon containing.In a preferred embodiment of the invention, the pore-forming material of at least a carbon containing is dispersed in the siliceous structure formation material.Term used herein " by disperseing " comprises that zone of dispersion, air gap interconnect structure (promptly big relatively carbon containing pore-forming material zone), the co-continuous zone of carbon containing pore-forming material, the structure of pore-forming material form material and their combination.
At least a silicon structure that contains of composite membrane forms the compound that material preferably includes at least a energy formation and keeps interference networks.These examples of material include but not limited to unadulterated silicate glass (SiO 2), the borosilicate glass (Si:O:B:H:P) of carbon, borosilicate glass (Si:O:B:H) or the phosphorus doping of the carborundum (Si:C:H) of carborundum (SiC), hydrogenation, silicon oxynitride (Si:O:N), silicon nitride (Si::N), carbonitride of silicium (Si:C:N), fluorosilicate glass (Si:O:F), organic fluorinated silicone silicate glass (Si:O:C:H:F), organic silicate glass (Si:O:C:H), diamond like carbon.In certain preferred aspects, siliceous structure formation material comprises silica compounds.Term used herein " silica " is to have silicon (Si) and oxygen (O) atom and a possible other substituting group, and this substituting group for example is but is not limited to other element such as C, H, B, N, P or halogen atom; Alkyl; Or aryl.No matter whether siliceous structure-forming agent changes in whole the inventive method, the term of Shi Yonging " siliceous structure-forming agent " is intended comprising structure and is formed reagent, precursor (or structure formation substituent) and their derivative in this article, no matter and they with which kind of form are found in whole the inventive method.
Can use various distinct methods at least a portion of substrate, to make material of the present invention form composite membrane by precursor composition or mixture.These methods can be used separately or be used in combination.Some examples that can be used to form the method for composite membrane comprise following: the CVD of the auxiliary auxiliary vapour deposition of CVD, low temperature chemical vapor deposition, chemistry of auxiliary CVD, the plasma-photon of the chemical vapour deposition (CVD) (" PECVD ") that thermal chemical vapor deposition, plasma strengthen, high density PECVD, photon, hot-wire chemical gas-phase deposition, liquid polymer precursor, by supercritical fluid deposition, spraying liquid deposition or migration polymerization (" TP ").United States Patent (USP) 6,171,945,6,054,206,6,054,379 and 6,159,871 and International Patent Application WO 99/41423 some exemplary CVD methods that can be used for forming composite membrane of the present invention are provided.Other method that can be used for forming film comprises spin coating, dip-coating, Langmuir-blodgett self assembly or sprayed deposit method.
In the chemical gaseous phase depositing process of some embodiments, form composite membrane by the mixture of one or more gaseous reagents.Phrase " gaseous reagent " (being also referred to as " precursor gases " in this article) is used to describe reagent sometimes in this article and intends comprising directly as gas delivery to reactor, with the solid of the liquid that gasifies, distillation and/or be transported to the reagent of reactor by inert carrier gas.In other embodiments of the present invention, composite membrane forms through the PECVD method.In brief, gaseous reagent is introduced in the reative cell such as vacuum chamber, and the plasma energy gives the gaseous reagent energy, thereby forms film at least a portion of substrate.In these embodiments, can comprise at least a precursor that contains silica that can form structure formation material by making, preferably have the organic siloxane precursor and form composite membrane with the gaseous mixture codeposition or the sequential aggradation that can form at least a plasma polymerizable organic precursor of pore-forming material.In some embodiments, the energy of plasma scope of employing can be 0.02 to 7W/cm 2, perhaps randomly be 0.3 to 3W/cm 2For the substrate of 200mm diameter, the flow rate range of each gaseous reagent can be 10 to 5000 standard cubic centimeters per minute (sccm).Between pecvd process depositional stage of the present invention, the range of pressure values in vacuum chamber can be 0.01 to 600 holder, more preferably 1 to 10 holder.But will be understood that, can be dependent on such as multiple factors such as the devices that uses in substrate surface area, structure formation to be formed and pore-forming material, the pecvd process such as the technological parameter of energy of plasma, flow rate and pressure and change.
In a certain preferred embodiment of the present invention, composite membrane comprises Si, C, O, H and Si-CH 3Group, composite membrane forms by following technology: substrate is provided in vacuum chamber; To comprise that at least a gaseous reagent that contains silicon structure formation precursor gases that is selected from organosilan and organosiloxane is incorporated in the vacuum chamber; The pore-forming precursor of carbon containing is different from least a siliceous structure that is selected from organosilan and organosiloxane and forms precursor gases; And the randomly at least a precursor gases that supplies fluorine gas that comprises can form at least a portion of siliceous structure-forming agent; Energy is applied on the gaseous reagent in the above-mentioned chamber, thereby causes the gaseous reagent reaction and on substrate, form film.The example that forms the gaseous reagent of precursor and pore-forming precursor as structure can be at United States Patent (USP) 6,583, finds in 048 and 6,846,515, and these two pieces of United States Patent (USP)s are transferred to assignee of the present invention and hereby incorporated by reference in this article jointly.
The gas that contains silica for example organosilan and organosiloxane is that the silicon structure that contains that the structure that is preferably formed the composite membrane of chemical vapour deposition (CVD) forms material forms precursor gases.Suitable organosilan and organosiloxane for example comprise: (a) by formula R 1 nSiR 2 4-nThe alkyl silane of expression, wherein n is 1 to 3 integer; R 1And R 2Be at least a side chain or straight chain C independently 1To C 8Alkyl (for example methyl, ethyl), C 3To C 8Replacement or unsubstituted ring alkyl (for example cyclobutyl, cyclohexyl), C 3To C 10Part unsaturated alkyl (for example acrylic, butadienyl), C 6To C 12Replacement or unsubstituting aromatic yl (for example phenyl, tolyl), contain the undersaturated alkyl or aryl of straight chain, side chain, ring, part (for example methoxyl group, ethyoxyl, phenoxy group) of alkoxyl, R accordingly 2Randomly be hydride (for example methyl-monosilane, dimethylsilane, trimethyl silane, tetramethylsilane, phenyl silane, aminomethyl phenyl silane, cyclohexyl silane, t-butylsilane, ethylsilane, diethylsilane, tetraethoxysilane, dimethyldiethoxysilane, diethoxymethyl silane (DEMS TM), dimethyldimethoxysil,ne, dimethylethoxysilane, methyldiethoxysilane, triethoxysilane, trimethyl phenoxysilane and phenoxy group silane); (b) by formula R 1(R 2 2SiO) nSiR 2 3Expression, wherein n is the straight chain organosiloxane of 1 to 10 integer, perhaps by formula (R 1R 2SiO) nExpression, wherein n is the epoxy/organosilicon oxygen alkane of 2 to 10 integer, R 1And R 2As above definition (for example 1,3,5,7-tetramethyl-ring tetrasiloxane, octamethylcy-clotetrasiloxane, hexamethyl cyclotrisiloxane, HMDO, 1,1,2,2-tetramethyl disiloxane and octamethyltrisiloxane); (c) by formula R 2(SiR 1R 2) nR 2Expression, wherein n is the straight chain organosilan oligomer of 2 to 10 integer, perhaps by formula (SiR 1R 2) nExpression, wherein n is the epoxy/organosilicon alkane of 3 to 10 integer, R 1And R 2As above definition (for example 1,2-dimethyl disilane, 1,1,2,2-tetramethyl disilane, 1,2-dimethyl-1,1,2,2-dimethoxy disilane, hexamethyldisilane, prestox trisilalkane, 1,2,3,4,5,6-hexaphenyl hexasilane, 1,2-dimethyl-1,2-diphenyl disilane and 1,2-diphenyl disilane).In some embodiments, organosilan/organosiloxane is a cycloalkyl silane, the cycloalkyl siloxanes, cycloalkyloxy silane or contain at least one alkoxyl or alkyl bridged bond between a pair of Si atom, for example 1,2-disilanoxy-(disilano) ethane, 1,3-disilanoxy-propane, dimethyl-silicon heterocycle butane, 1, two (trimethylsiloxy) cyclobutanes of 2-, 1,1-dimethyl-1-sila-2, the 6-dioxane, 1,1-dimethyl-1-sila-2-oxinane, 1, two (trimethylsiloxy) ethane of 2-, 1, two (dimetylsilyl) benzene of 4-, octamethylcy-clotetrasiloxane (OMCTS) or 1,3-(dimetylsilyl) cyclobutane.In some embodiments, organosilan/organosiloxane contains the cyclic group that is selected from epoxides, carboxylate, alkynes, diene, phenylacetylene base, distortion and can make the C that organosilan/be obstructed or be out of shape in the organosiloxane space 4To C 10The reaction side group of group, for example trimethyl silyl acetylene, 1-(trimethyl silyl)-1,3-butadiene, trimethyl silyl cyclopentadiene, trimethylsilyl acetate and two tert-butoxy diacetoxyl silane.
In some embodiments, at least a structure formation material further comprises fluorine.The preferred of the composite membrane of CVD-deposition lacks any F-C key (for example fluorine is connected on the carbon) that ends in the film for fluorine gas.Therefore preferably comprise for example SiF for fluorine gas 4, NF 3, F 2, HF, SF 6, ClF 3, BF 3, BrF 3, SF 4, NF 2Cl, FSiH 3, F 2SiH 2, F 3SiH 2, organ of luorosilane and their mixture, prerequisite is that Organic fluoride silica alkane does not comprise any F-C key.Preferably comprise the organosilan of above-mentioned alkyl silane, alkoxy silane, straight chain and epoxy/organosilicon oxygen alkane, straight chain and epoxy/organosilicon alkane oligomer, ring or bridge joint in addition and have the organosilan that reacts side group for fluorine gas, condition is that fluorine atom has replaced at least a silicon substituting group, therefore has at least one Si-F key.More specifically, the suitable fluorine gas that supplies comprises for example fluorine trimethyl silane, difluoro dimethylsilane, methyl trifluoro silane, fluorine triethoxysilane, 1,2-two fluoro-1,1,2,2-tetramethyl disilane or difluoro dimethoxy silane.
In the embodiment that composite membrane forms by spin coating method, composite membrane is formed by mixture, and this mixture is particularly including at least a pore-forming precursor or pore former, catalyst and the water that silicon structure forms precursor, carbon containing that contains that is preferably silica raw material.Mixture also can comprise solvent and surfactant.In brief, mixture is distributed on the substrate and evaporating solvent and water can form composite membrane.Generally the time that is exposed to one or more energy sources and is enough to for one to make low dielectric film by the substrate that will apply is removed surfactant, residual solvent and water and pore former.In some cases, composite membrane can be preheating to silica raw material complete hydrolysis basically, continues cross-linking process, and if any residual solvent that expeling exists from film.
In some preferred embodiments, the mixture that is used to form composite membrane preferably includes and forms the silica raw material that structure forms material." silica raw material " used herein is the compound with silicon (Si) and oxygen (O), and may have other substituting group, such as but not limited to other element such as H, B, C, P or halogen atom; Alkyl; Or aryl.Term used herein " alkyl " comprises straight chain, side chain or cycloalkyl, preferably contains 1 to 24 carbon atom, or 1 to 13 carbon atom more preferably.This term also is applicable to the moieties that is included in such as in other group of alkylhalide group, alkaryl or aralkyl.Term " alkyl " also is applicable to and replaces or the unsaturated moieties of part.Term used herein " aryl " comprises the carbocyclic ring of six to ten binary with aryl feature.Term " aryl " also is applicable to the aryl moiety of replacement.Silica raw material can comprise the material of the Si-O key with high number, but can comprise further that Si-O-Si bridge, Si-R-Si bridge, Si-C key, Si-F key, Si-H key or a part of material also can have c h bond.Those fluorinated silanes or the fluorinated siloxane that provides such as in the United States Patent (USP) 6,258,407 that is hereby incorporated by reference can be provided other example of silica raw material.
Other example of silica raw material can comprise the compound that produces the Si-H key of removing by pore-forming material.
The further example of above-mentioned raw materials see the non-hydrolysis chemical method of for example in following document, describing: Hay's etc. " Synthesis of Organic-Inorganic Hybrids via the Non-hydrolytic Sol-GelProcess ", Chem.Mater., 13,3396-3403 (2001), perhaps " the A Versatile Routeto Organically-Modified Silicas and Porous Silicas via the Non-Hydrolytic Sol-GelProcess " of Hay etc., J.Mater.Chem., 10,1811-1818 (2000), these two pieces of documents are incorporated by reference in this text to be examined.
The further example of silica raw material comprises such as hydrogen silsesquioxane (HSQ, HSiO 1.5) and methyl silsesquioxane (MSQ, RSiO 1.5, wherein R is a methyl) and at interior silsesquioxane.
The further example of suitable silica raw material is included in United States Patent (USP) 6,271, those that describe in 273 and EP1,088,868,1,123,753 and 1,127,929, and their disclosure is incorporated herein by reference.In preferred embodiments, silica raw material can be the compound that is expressed from the next: R aSi (OR 1) 4-a, wherein R represents hydrogen atom, fluorine atom or any monovalent organic radical group, R 1Expression any monovalent organic radical group, a is 1 or 2 integer; Si (OR 2) 4, R wherein 2Expression any monovalent organic radical group; Or R 3 b(R 4O) 3-bSi-(R 7) d-Si (OR 5) 3-cR 6 c, R wherein 3To R 6Can be identical or different, and represent any monovalent organic radical group respectively, b and c can be identical or different, and are respectively 0 to 2 numbers, R 7Expression oxygen atom, phenylene or by-(CH 2) nThe group of-expression, wherein n is 1 to 6 integer, d is 0 or 1; Or the combination of above-mentioned substance.Term used herein " any monovalent organic radical group " is meant and the element of paying close attention to for example organic group that is connected by single C key of Si or O, i.e. Si-C or O-C.
In some embodiments, silica raw material can hydrolysis and the form of condensation product be added in the mixture.The following generation of the hydrolysis of silica raw material and condensation: water and catalyst are added in the solvent, in a period of time, be interrupted or add silica raw material continuously, usually at-30 to 100 ℃, preferred 20 to 100 ℃ stir the mixture 0 to 24 hour to be hydrolyzed and condensation reaction.In each preparation process, by regulating concentration or forming so that required solids content to be provided with the solvent dilution scalable.Further, silica raw material can be the compound that produces acetate when hydrolysis.
The hydrolysis of silica raw material and condensation can take place at any point in the composite membrane forming process, for example in adding mixture to before, add in the mixture after, be prior to and that to be exposed at least a energy source process medium.For example, in some embodiments of the present invention, a kind of silica raw material mixes mutually with solvent, water and surfactant in container, and adds in the container gradually catalyst and mixing.Under the prerequisite that does not break away from spirit of the present invention, prediction can use various order to add in the mixture.
Be applicable to that catalyst of the present invention comprises that but catalysis is derived from the substituent hydrolysis of silica raw material and/or makes two kinds of silica raw material condensations to form any organic or inorganic acid or the alkali of Si-O-Si bridged bond when water exists.Catalyst can be organic base, such as but not limited to quaternary ammonium salt and the hydroxide such as ammonium or tetramethyl-ammonium, such as primary, the amine and the amine oxide of the second month in a season and tertiary amine.Catalyst also can be acid, such as but not limited to nitric acid, maleic acid, oxalic acid, acetate, formic acid, glycolic, glyoxalic acid or their mixture.In preferred embodiments, catalyst comprises nitric acid.
Be applicable to that solvent of the present invention can comprise that thereby any solubilized reactant promotes the solvent of reaction.Solvent can be for example alcoholic solvent, ketone solvent, amide solvent or ester solvent.In some embodiments, solvent can be for example carbon dioxide, fluorocarbon, sulphur hexafluoride, alkane and other suitable multicomponent mixture etc. of supercritical fluid.In some embodiments, be used for one or more solvents of the present invention and have low relatively boiling point, promptly be lower than 160 ℃.These solvents include but not limited to oxolane, acetone, 1,4-dioxane, 1,3-dioxane, ethyl acetate and methyl ethyl ketone.Other solvent that can be used for the present invention but have a boiling point that is higher than 160 ℃ comprises dimethyl formamide, dimethylacetylamide, N-methyl pyrrolidone, ethylene carbonate, propylene carbonate, glycerine and derivative, naphthalene and substituent thereof, acetic anhydride, propionic acid and propionic andydride, dimethyl sulfone, Benzophenone, diphenyl sulphone (DPS), phenol, metacresol, methyl-sulfoxide, diphenyl ether, terphenyl etc.Preferred solvent comprises propylene glycol propyl ether (PGPE), 3-enanthol, 2-methyl-1-pentene alcohol, 5-methyl-2-hexanol, 3-hexanol, 2-enanthol, 2,3-dimethyl-3-amylalcohol, propylene glycol methyl ether acetate (PGMEA), ethylene glycol n-butyl ether, propylene glycol n-butyl ether (PGBE), 1-butoxy-2-propyl alcohol, 2-methyl-3-amylalcohol, 2-methoxyacetic acid ethyl ester, butoxy ethanol, 2-ethyoxyl ethyl acetoacetate, 1-amylalcohol and propylene glycol monomethyl ether.Further exemplary solvent comprises lactate, pyruvate and glycol.Further exemplary solvent comprises those solvents of listing among the EP 1,127,929 that is hereby incorporated by reference.The above-mentioned solvent of enumerating can use separately or two or more solvents are used in combination.
The mixture that is used to form composite membrane of the present invention further comprises the carbon containing pore-forming precursor of one or more preparation pore-forming materials, when application-specific, described precursor can easily be removed the needed degree that goes to from composite membrane when being exposed to one or more energy sources.The pore-forming material of carbon containing is also referred to as " pore former " in this article.Term used herein " pore-forming material ", " pore-forming agent " or " pore former " are meant the carbon containing reactant that is used for producing at the gained film pore volume.Above-mentioned term also can refer to carbon containing pore-forming precursor gases.No matter whether pore former does not change in whole process of the present invention, no matter which kind of form they are found to be in whole process of the present invention, pore-forming reagent, precursor, (or pore-forming substituent) and their derivative of carbon containing intended comprising in term used herein " pore former ".The suitable combination thing that can be used as carbon containing pore-forming material of the present invention includes but not limited to the organic material of hydrocarbon material, plasma polymerization, unsettled organic group, solvent, decomposable polymer, surfactant, dendrite crystal, hyper branched polymer, polyoxyalkylene compounds or their combination.
In follow-up processing step, the carbon containing pore-forming material is used to produce pore volume by removing of it in the gained perforated membrane.In composite membrane the carbon containing pore-forming material can with in mixture and/or the carbon containing pore-forming precursor of introducing in the reative cell have identical or different form.Equally, pore former removes technology can discharge pore former or its fragment from film.Substantially, pore former in carbon containing pore-forming precursor, the composite membrane and the pore former that is removed can the yes or no same substance, although preferably they all originate from the pore-forming precursor.In the preferred embodiment of the invention, carbon containing pore former (also being called " pore-forming precursor gases " in this article) is the polymerisable organic compound of hydrocarbon compound or plasma.Exemplary hydrocarbon compound and plasma polymerizable organic compounds comprise following at least a:
Have ring structure and formula C nH 2nCyclic hydrocarbon, wherein n is 3 to 20, the carbon number in the ring structure is 3 to 20, at least a cyclic hydrocarbon randomly contains a plurality of simple hydrocarbons or the branched-chain hydrocarbons that replaces on ring structure;
General formula is C nH (2n+2)-2yStraight or branched, saturated, single or many unsaturated hydrocarbons, wherein n is 2 to 20 number, y is 0 to n number;
Have ring structure and formula C nH 2n-2xSingle or how unsaturated cyclic hydrocarbon, wherein x is the number in unsaturated site, the number of n formula 3 to 20, wherein the carbon number in the cyclic hydrocarbon is 3 to 20, at least a single or how unsaturated cyclic hydrocarbon randomly contains the multiple simple or branched-chain hydrocarbons substituting group on ring structure, and contains unsaturated or unsaturated on one of hydrocarbon substituent of bridged ring;
Have twin nuclei and formula C nH 2n-2Dicyclic hydrocarbon, wherein n is 3 to 20 number, wherein the carbon number in the dicyclic hydrocarbon structure is 3 to 20, and at least a dicyclic hydrocarbon randomly contains a plurality of simple hydrocarbons or the branched-chain hydrocarbons that replaces on twin nuclei;
Have twin nuclei and formula C nH 2n-(2+2x)How unsaturated dicyclic hydrocarbon, wherein x is the number in unsaturated site, n is 3 to 20 number, carbon number in the wherein how unsaturated dicyclic hydrocarbon structure is 3 to 20, at least a how unsaturated dicyclic hydrocarbon randomly contains a plurality of simple hydrocarbons or the branched-chain hydrocarbons that replaces on twin nuclei, and contains unsaturated and/or unsaturated on one of hydrocarbon substituent of bridged ring;
Have tricyclic structure and formula C nH 2n-4Tricyctic hydrocarbon, wherein n is 5 to 20 number, wherein the carbon number in the tricyclic structure is 5 to 20, and at least a tricyctic hydrocarbon randomly contains a plurality of simple hydrocarbons or the branched-chain hydrocarbons that replaces on ring structure; With
Have tricyclic structure and formula C nH 2n-(4+2x)How unsaturated tricyctic hydrocarbon, wherein x is the number in unsaturated site, n is 3 to 20 number, wherein the carbon number in how unsaturated tricyctic hydrocarbon structure is 3 to 20, at least a how unsaturated tricyctic hydrocarbon randomly contains a plurality of simple hydrocarbons or the branched-chain hydrocarbons that replaces on tricyclic structure, and contains unsaturated and/or unsaturated on one of hydrocarbon substituent of bridged ring;
The example of aforesaid compound includes but not limited to α-terpinenes, limonene, cyclohexane, γ-terpinenes, amphene, dimethyl hexadiene, ethylbenzene, norbornadiene, cyclopentene oxide, cyclohexene oxide, cyclohexanone, cyclopentanone, 1,2,4-trimethyl-cyclohexane, 1,5-dimethyl-1, the diene of 5-cyclo-octadiene, amphene, adamantane, 1,3-butadiene, replacement, australene, nopinene, decahydronaphthalenes, cyclooctane, cyclo-octene, cyclo-octadiene, cycloheptane, cycloheptene, dimethyl hexadiene and their mixture.
The also decomposable polymer of pore former.Decomposable polymer can be by RADIATION DECOMPOSITION or thermal decomposition.Term " polymer " used herein " also comprise term oligomer and/or copolymer, unless opposite explanation is arranged clearly in addition.The radiation decomposable polymer is meant decomposable polymer under the radiation that is exposed to for example ultraviolet ray, X-ray, electron beam etc.Hot decomposable polymer carries out thermal decomposition and exists during at least a portion is crosslinked when reaching the condensation temp of silica raw material material.Such polymer is to promote templating, control and the limiting hole size of vitrifying reaction and the suitable time of work in-process decomposes and the polymer that spreads out from matrix.The example of these polymer comprises the polymer with configuration that three-dimensional structure can be provided, such as but not limited to block copolymer such as diblock, three blocks and many blocks, star block copolymer, diblock copolymer radially, graft block copolymer, the cograft copolymer, dendritic graft copolymer, the combination of tapered block copolymer and these structures.The further example of degradable polymer is seen United States Patent (USP) 6,204,202, and it is in this hereby incorporated by reference.
Carbon containing pore-forming material of the present invention also can comprise surfactant.For introducing porously based on for the film of sol-gel silica by adding the surfactant remove subsequently, the amount that changes surfactant can change porosity.Surfactant can be anionic, cationic, nonionic or amphoteric.The further classification of surfactant comprises silicone surfactant, polyoxyalkylene surfactants and fluorochemical surfactant.Yet for forming the dielectric layer that IC uses, common preferred nonionic surfactants.Further exemplary surfactant comprises (primary and secondary) alcohol ethoxylate, acetylenediol and alcohol, the ethoxylate based on phenol, ethoxylated amine (amine ethoxylates), glucoside, glucamide, polyethylene glycol, poly-(ethylene glycol-altogether-propylene glycol) or by Glen Rock, N.J. document McCutcheon ' the s Emulsifiers andDetergents that ManufacturersConfectioners Publishing Co. published in 2000, other surfactant that provides among the North American Edition (North America version).
Pore-forming material can be high branching or dendritic polymer.Even high branching and dendritic polymer generally have low solution and melt viscosity, because the solubility of high chemical reactivity of surface-functional and the increase that also has when higher molecular weight." the Comprehensive PolymerScience " of hereby incorporated by reference in this article, 2 NdSupplement, Aggarwal provides suitable decomposed hyper branched polymer and some unrestriced examples of arborescence among the pp.71-132 (1996).
Pore-forming material in the pore-forming mixture also can be a polyoxyalkylene compounds, for example polyoxyalkylene non-ionic surface active agent, polyoxyalkylene polymers, polyoxyalkylene copolymers, polyoxyalkylene oligomer or their combination.Such example is to comprise C 2To C 6The polyalkylene oxide of moieties, for example poly(ethylene oxide), PPOX and their copolymer.
In some embodiments of the present invention, the material of single molecule both can be used as the structure-forming agent of composite membrane, can be used as the pore-forming agent again.That is to say that structure forms the precursor molecule not necessarily different with the pore-forming precursor, in some embodiments, pore former is the part (for example covalent bonds is in this precursor) that structure forms precursor.As seen these examples of material for example transfer the assignee of the present invention and the United States Patent (USP) 6,583,048 and 6,846,515 of hereby incorporated by reference in this article jointly.Contain the precursor that is keyed to the pore former on it and be also referred to as " pore-forming precursor " hereinafter sometimes.For example, the new hexyl TMCTS of precursor not only can be used as structure-forming agent but also can be used as porogen precursor, and the molecular moiety of TMCTS forms basic OSG structure thus, and the new hexyl of large volume alkyl substituent is the pore-forming material that is removed in annealing process.In these embodiments, can be blended into more high efficiency in the film can advantageously in deposition process, obtain the pore former material of the netted OSG of entering structure and being connected of Si material to make pore former.In addition, also advantageously, in such as two these precursors of hot hexyl-diethoxy silane, two kinds of pore formers are connected on the Si, or two Si are connected on the pore former, for example 1, in two (diethoxy silicyl) cyclohexanes of 4-.Though do not plan bound by theoryly, the bonding reaction of Si-pore former can be incorporated into the second pore former group in the deposited film in plasma.
In one or more pore formers of the present invention are connected to some embodiments on the silicon, can advantageously design pore former so that be cured when forming aperture when film, thereby remaining connected to, a part of pore former gives film on the silicon with hydrophobicity.Can be chosen in pore former in the precursor that contains the Si-pore former make when decomposing or solidify, from pore former, stay can be connected on the silicon atom such as-CH 3Chemical end group.For example, if select the new hexyl of pore former, think that then thermal annealing under proper condition can disconnect the C-C key that relative Si is the β position; Near the secondary carbon of Si and the key between the quaternary carbon on the tert-butyl group may be the easiest disconnection on the thermokinetics.Under suitable condition, think that this will stay the end-CH that is connected on the Si 3Group also will provide hydrophobicity and low-k for film.The example of this precursor comprises neopentyl triethoxysilane, neopentyl diethoxy silane, neopentyl diethoxymethyl silane and di neo-pentyl ethoxymethyl silane.
In some embodiments, thus method of the present invention comprise composite membrane be exposed to the active chemistry step of the carbon in the modification composite membrane at least in part.According to the present invention, active chemistry can provide in the following manner: for example gas is exposed to such as radio-frequency (RF) energy or source of microwave energy and forms plasma, be exposed to heated filament energy source, electron attachment energy source or electron beam.Active material can produce by far-end energy source or original position energy source.The time of exposing step for example can be from less than 0.1 second to greater than 10 minutes.
The present invention by be exposed to plasma produce reactive materials with the embodiment that active chemistry is provided in, the ability that forms gas and plasma according to them under the plasma formation condition is selected the specific components of plasma gas mixture.Admixture of gas can comprise reactant gas, for example stores up fluorine gas, storage hydrogen and their mixture.Gas can further comprise inert gas such as argon gas, helium, nitrogen, xenon, krypton gas, neon etc.Generation is main and carbon and as other atomic reaction of pore former component from the plasma of gas, thereby form volatile compound and help pore former to spread out from film.
In the plasma embodiment of being paid close attention to, reactive chemical of the present invention preferably produces from the remote plasma generator.The example of remote plasma generator is the downstream plasma generator, for example available from the Axcelis of Rockville Md. Technologies, and the microwave plasma-generator of the commodity of Inc. Fusion GEMINIES  by name.Other remote plasma generator can comprise the plasma generator of the plasma reactor that adopts the induction coupling.
According to present disclosure, the setting and the optimization of particular plasma body generator will be apparent to those skilled in the art.Plasma generator usually generates the chamber by plasma and plasma-reaction-chamber is formed.Only for example purposes, in 300mm Fusion ES3 downstream plasma generator, substrate preferably is heated to about 450 ℃ or lower temperature in reative cell, more preferably 150 ℃ to 450 ℃, most preferably is 250 ℃ to 400 ℃.The temperature of using during processing can be constant, and maybe can be chosen in during the processing is to tilt or stepped.Pressure in reative cell is preferably reduced to about 1 holder or bigger.In some embodiments of the present invention, operate to the pressure of about 4 holders in about 1 holder.Activated gas mixt is admitted to plasma by gas feed and generates the chamber.Preferably, the admixture of gas that produces active chemistry comprises and is selected from H 2, CO, C xH y, CO 2And the reducing agent of their mixture.Other compound also can be used as reducing agent according to the present invention.These other compounds comprise hydrazine and derivative, sulphur and oxide thereof, H 2S, hydride, borine, ammonia, silane, phosphine, arsine,
Figure A20071014219300241
And their mixture.
Admixture of gas is exposed to energy source usually in plasma generates the chamber, radio-frequency (RF) energy for example, thus produce the active atom that maybe can quantize by admixture of gas.The plasma that generates is made up of electric neutrality and charged particle that the gas that uses in the plasma gas mixture forms.In some embodiments of the present invention, before plasma arrived wafer, charged particle was optionally removed.In some embodiments of the present invention, the admixture of gas of formation plasma comprises hydrogen, helium and optional fluorine gas.Alternately, admixture of gas comprises the admixture of gas of hydrogen and helium.
In a preferred embodiment of the invention, produce reactive materials, active chemical substance is provided thus by being exposed to such as the heated filament that in for example hot-wire chemical gas-phase deposition (" HFCVD ") device, adopts.Adopt the advantage of the heated filament energy to be in the methods of the invention: if heated filament is maintained at apparently higher than 1500 ℃ temperature, then gaseous reactant (being the component of fluid) on the surface of heated filament (being generally tungsten, platinum, rhenium or tantalum) very effectively cracking be atomic radical.Can with the environment under low pressure of film reaction under, reactive materials is transported on the substrate.
The present invention adopts the method for heated filament to be described with reference to the device shown in Fig. 1 in this article.Although Fig. 1 has described concrete reactor 10, following explanation can usually be suitable for and not consider the reactor details.Fluid enters reactor 10 by import 3.Usually the choke valve by control automatically keeps reactor pressure, and before the vacuum pump that does not illustrate in addition, choke valve is connected in the outlet 4.Reactor pressure remains 1 holder usually to maximum 1 atmospheric pressure in described zone.Lower pressure provides the very fast diffusion of reactive materials usually and the low concentration of reactive materials is provided.The optimum pressure of any specified criteria need not too much make great efforts to determine with regard to available experimental technique.Have dystectic silk 1 and be heated to sufficiently high temperature, so that gaseous reactant is provided reactive materials by disassociation.The about 1.5mm of silk 1 general diameter is shown as spirality, the about 2.5cm of length; Yet it is not crucial especially variable, and can change in very large scope.For example when substrate was big, spiral can be wideer, in fact to cover the whole surface of substrate, perhaps can use the silk more than.Under any circumstance, thus silk need be heated to will cause the disassociation of gaseous reactant produce the temperature of reactive materials.In tungsten filament for example by make AC or DC electric current by silk usually acquisition scope easily be about 900 to 2400 ℃, preferred about 2000 to 2400 ℃ silk temperature.Usually, silk is heated to the temperature that just is lower than its maximum operating temp usually.Generally reactant flow in the reactor before heater strip.
Comprising as mentioned above that the substrate 2 of composite membrane is placed on usually still has certain distance near silk 1 but with it.The also available experimental technique of distance suitable between substrate and the heater strip is definite, and can especially depend on the existence of reactant, silk temperature, reactor pressure, underlayer temperature and catalyst, but is typically several millimeters to several centimetres the order of magnitude.Although can adopt about 200 ℃ to about 1200 ℃ underlayer temperature, about 300 to 1000 ℃ underlayer temperature is used always.Reached balance in case set up reactant fluid and fluid and composite membrane, silk is heated to about 2000 ℃ or higher.In case silk is heated, thus the carbon in fluid is activated and beginning contacts with the composite membrane modification composite membrane.
In other embodiments of the present invention, generate the reactive materials that comprises negatively charged ions by being exposed to the electron attachment energy source, thereby the chemical substance of activation is provided.In order to produce negatively charged ions, need to produce a large amount of electronics by electron attachment.In this connection, electronics can produce by variety of way, such as but not limited to cathode emission, gas discharge and their combination.In these electron production methods, the selection of method depends primarily on efficient and produces the energy level of electronics.
In electron attachment, be introduced in heating chamber, baking oven or the stove with negative electrode and anode such as the inert gas of nitrogen with such as the admixture of gas of the reducing gas of hydrogen or carbon monoxide, thereby composite membrane is connected to or is installed to and forms the target assembly on the anode.When between negative electrode and anode, applying dc voltage, produce low-energy electron (for example 10ev or littler) at negative electrode, and in electric field, move to anode.During electron transfer, a part of molecule reducing gas, for example H 2Can form anion by electron attachment, migrate to anode then.At the anode place, the negatively charged ions hydrogen contact carbon in compound, thereby modification carbon are for example removed carbon or electronation carbon to small part.During this technology, inert gas such as N 2Unaffected, because the electron affinity of nitrogen is zero.
For the present invention, the H in the admixture of gas 2Concentration can be about 0.1 to 100 volume %, more preferably about 0.5 to 50 volume %.Temperature range can be about ambient temperature to 400 ℃, better is about 100 to 350 ℃.Dc voltage can be approximately-1 to-50kV, and preferred range is approximately-2 to-30kV.Distance between the upper surface of negative electrode and composite membrane can be for about 1 to 10cm, and preferred range is about 2 to 5cm.Can produce the negative electrode of electronics or device (photoelectric emission or radioactive source) should be near anode or target assembly, and does not need independent chamber or zone, but can adopt the far-end chamber.Pressure in the electron attachment device is preferably environment atmospheric pressure, promptly refers to the pressure that process area exists.Need be such as the particular pressure of vacuum.Normally, 10 to 20psia pressure is acceptable, but 14 to 16psia, and the pressure of preferred 14.7psia is optimum.The electron attachment technology is at United States Patent (USP) 6,776,330 and U.S. Patent Application Publication 2004/0211675 in more detailed description is arranged, it is above-mentioned that both are incorporated by reference in this article.
In certain preferred embodiments of the present invention, the chemical substance of activation comprises at least a storage hydrogen.The storage hydrogen that is suitable for this method use comprises that those contain the compound of hydrogen.Storage hydrogen comprises hydrocarbon, fluorohydrocarbon, hydrogen or their mixture.Preferred storage hydrogen exists with gaseous state under the standard temperature and pressure (STP) condition.Hydrocarbon or fluorohydrocarbon are normally unsubstituted, or can partly be replaced by the halogen such as bromine, chlorine or fluorine.The example of the appropriate hydrocarbon gas of Chu Qing comprises methane, ethane and propane.
Preferred hydrocarbons as the chemical substance that is activated comprises C 1-10Straight or branched, ring or many rings, saturated or undersaturated hydrocarbon.
In some embodiment preferred of the present invention, the chemical substance of activation can comprise at least a at the material that is commonly used for reducing agent known in the art.This reducing agent comprises H 2, hydrazine and derivative, sulphur and oxide thereof, H 2S, hydride, borine, ammonia, amine, silane, organosilan, phosphine, arsine,
Figure A20071014219300261
And their mixture.Hydrogen and/or storage hydrogen are particularly preferred.
Storage hydrogen used according to the invention comprises the mixture of hydrogen and rare gas.The example that is suitable for the rare gas of this method comprises the gas of group VIII in the periodic table of elements, for example argon gas, neon, helium etc.In some embodiments of the present invention, the active chemistry of far-end generation is the admixture of gas of hydrogen and helium.In this embodiment, the effect of helium is partly to keep hydrogen atom concentration in the admixture of gas.For some embodiments, in the admixture of gas amount of hydrogen be cumulative volume about 1 to about 99%, more preferably about 10 of cumulative volume to about 30%.
In some embodiments of the present invention, the chemical substance of activation comprises at least a CO of being selected from and CO 2Material.
In some embodiments of the present invention, the chemical substance of activation comprises at least a storage fluorine compounds.Storage fluorine compounds in gas preferably be less than the admixture of gas cumulative volume about 10% to the MAXIMUM SELECTION value.Preferred fluorine compounds comprise that the energy source that is subjected to be adopted produces those compounds of fluorine reactive materials when exciting.Preferably, fluorine compounds are gas, and are selected from and have general formula C aH bF cCompound, HF, the F of (wherein a is 1 to 10, b is 0 to 21, c be 1 to 22) 2And SF 6Other storage fluorine compounds that do not produce reactive nitrogen or oxygen species will be readily apparent to persons skilled in the art.More preferably, the storage fluorine compounds are CF 4, CHF 3, C 3F 8, C 4F 6, C 6F 6, C 4F 8, C 4F 8O, C 2F 6, C 5F 8Or their mixture.
Although being exposed to single active chemistry, composite membrane is enough to carbon in the modification composite membrane, but in some embodiments of the present invention, the step that composite membrane is exposed to active chemistry is preferably carried out with the step that composite membrane is exposed at least a energy source is collaborative, thereby more effectively removes the carbon containing pore-forming material from composite membrane.Term used herein " collaborative with the step that composite membrane is exposed at least a energy source " is meant according to the present invention and composite membrane is exposed to simultaneously active chemistry and at least a energy source among the two, with the carbon component in the modification composite membrane.When composite membrane is exposed to active chemistry and at least a energy source simultaneously; When composite membrane is exposed to active chemistry earlier before being exposed at least a energy source; When composite membrane is exposed at least a energy source earlier before being exposed to active chemistry; And when composite membrane is exposed at least a energy source and active chemistry in the mode of pulse, all can obtain above-mentioned effect.Term used herein " simultaneous " or " simultaneously " are meant that composite membrane is exposed to the active chemistry that at least a energy source and far-end produce simultaneously at least a portion in open-assembly time.According to the present invention, the duration of Bao Luing can be lacked according to the needs of concrete application and can grow simultaneously.
The synergy that composite membrane is exposed to active chemistry and at least a energy source according to the present invention is preferably removed pore-forming material basically thereby perforated membrane is provided.The phrase of Shi Yonging " provides perforated membrane thereby remove basically " and is meant the amount of measuring by FTIR, XPS and other analytical method that those skilled in the art will know that remains in the pore-forming material in the perforated membrane to be at least 50 weight % with respect to composite membrane in the text, preferably at least about 25 weight %, at least 15 weight % more preferably most preferably are at least 5 weight % or still less.
At least a energy source can include but not limited to alpha particle, beta particle, gamma-radiation, x-ray, high energy electron, electron beam energy source, heat, ultraviolet ray (wavelength 10nm to 400nm), visible light (wavelength 400 is to 750nm), infrared ray (wavelength 750 to 10 5Nm), microwave (frequency>10 9Hz), radio frequency (frequency>10 6Hz) or their combination.It is most preferred energy source that independent UV radiation and UV radiation combine with heat.
For example, in some embodiments, wherein at least a energy source comprises ultra-violet radiation, and the temperature of substrate during being exposed to ultra-violet radiation is generally 500 ℃ or lower.Composite membrane can be exposed to the one or more wavelength in the ultraviolet spectra, perhaps such as the one or more wavelength in the ultraviolet spectra of deep UV (ultraviolet light) (being that wavelength is 280nm or lower) or vacuum ultraviolet (being that wavelength is 200nm or lower).Ultra-violet radiation can be that disperse, that concentrate, continuous wave, pulse, scanning or shading.UV source includes but not limited to that active excimer laser, impedance discharge lamp, mercury lamp, microwave UV lamp, laser take place for example at two frequencys multiplication of IR or visual field or frequency tripling laser or in the two photon absorption of visibility region from laser.UV source can be placed on apart from composite membrane 50 mils to 1000 foot part.
In some of the other embodiments, UV source process optics control sample is exposed to environment wherein.By the environment in the control room, and during exposing step, ultraviolet light is adjusted to certain wavelengths, substrate temperature can be kept relatively low.
Energy source comprises in the embodiment of ultra-violet radiation that composite membrane can be exposed on the one or more wavelength in source or the wavelength of wide spectrum.For example pass through laser and/or optional focused light source, composite membrane for example can be exposed to one or more specific wavelengths of radiation.In other embodiments, radiation source can be through such as the optics of lens (as convex lens, concavees lens, cylindrical lens, elliptical lenses, square lens or parabolic cylinder lens), filter (for example RF filter), window (for example glass, plastics, vitreous silica, synthetic quartz, silicate, calcirm-fluoride, lithium fluoride or magnesium fluoride windows) or mirror, thereby the light of specific focusing wavelength is provided.In these embodiments, non-reactive gas can flow through optics to small part exposing step process, thereby prevents that the surface at optics forms gathering owing to stop to inflate during the pore-forming step.Alternatively be radiation source any optics of can not flowing through.
Depend on the technology that is used to form composite membrane, the step that composite membrane is exposed at least a energy source can be carried out under various the setting.Maybe advantageously, can be after forming step to the small part composite membrane or even its during carry out this exposing step.Exposing step can be carried out in various the setting, for example be provided with for but be not limited to settling chamber, transport tape treatment system, hot plate, vacuum chamber, collection kit, single-chip device, batch processing device or the rotary turnsile (turnstile) of quartz container, modification.
Though do not intend being subjected to any theory constraint, believe when composite membrane is exposed at least a energy source, thereby its absorption certain quantity of energy can be removed pore former.The chemical property that depends on energy source and pore-forming material, thus the chemical bonding in pore-forming material can be disconnected and promotes it to remove.In this way, thus pore-forming material can from composite membrane, remove basically to stay mainly and form the perforated membrane that material is formed by structure.The gained perforated membrane will have lower density.In some embodiments, the gained perforated membrane also can have the dielectric constant lower than composite membrane.Under the effect of chemical substance by activation, pore former when it is removed by at least a energy source, the atomic interaction that maybe can quantize with the excited atom that produces from plasma.
Method of the present invention has several tangible advantages.For example, in some embodiments of the present invention, after being exposed to the chemical substance of activation, being determined at by FTIR in the film of deposition like this and remaining with at least 90% Si-CH usually 3Material.In other embodiments of the present invention, after being exposed to the chemical substance of activation, be determined in the film of deposition like this and remain with at least 95% Si-CH usually by FTIR 3Material.In other embodiments of the present invention, after being exposed to the chemical substance of activation, be determined in the film of deposition like this and remain with at least 98% Si-CH usually by FTIR 3Material.Do not intend being subjected to any theoretical especially constraint, believe that method of the present invention can be used to optionally disconnect carbon-carbon bond, but not obvious disconnection is present in Si-CH such as those 3Silicon-carbon bond in the material.A typical example is to measure CH by FTIR 3The area at-Si/SiO peak is than (to the baseline correction of 500nm thick film with after with peak intensity normalization).In some embodiments of the present invention, CH in being exposed to the film of energy source 3The peak area ratio of-Si/SiO is 0.005 to 0.035, and is exposed to CH after the chemical substance of activation 3The peak area ratio of-Si/SiO is 0.0015 to 0.03.
The chemical substance that collaborative mulch film is exposed at least a energy source and at least a activation has several and makes the electronic device advantage relevant with circuit.Processing to carbonaceous material in the film (being covalently bonded to the carbonizable substance on the Si and the carbonizable substance of carbon-to-carbon bonding) is extremely important to the integrated porous material of success in the device that needs advanced low-k materials.In these films the processing of carbon can cause higher utensil production capacity (cleaning of the optics that the pore former of improvement removes speed, improve in the UV chamber, reduce and clean optics continually), better dielectric constant/modulus relation and during integrated processing the infringement to film littler.The repetitive operation of above-mentioned processing is the deposition for " adjusting " film, and with the crosslinked and increase skeletal density of increase carbon containing porogen precursor in film, thereby the contraction that makes pore former remove caudacoria minimizes.The carbon that is not covalently bonded on the Si can be used as protective layer during burn into ashing and wet cleaning, thereby can help to make the chemical damage minimum that occurs on the dielectric material.After groove and passage formation, be exposed to the chemical substance and/or the collaborative energy source carbon that modification is residual that is exposed to of activation, thereby obtain having low-k, the chemical damage minimum of burn into ashing, wet cleaning and shrink minimum porous dielectric material, thereby the critical size that guarantees line feature is maintained.
Fig. 2 a to 2c provides according to the illustrating of the embodiment of the inventive method, and wherein composite membrane is exposed to the chemical substance of at least a energy source (for example ultra-violet radiation) and activation simultaneously.With reference to figure 2a, composite membrane 100 forms at least a portion of substrate 50.Composite membrane 100 comprises at least two kinds of materials: at least a structure forms material 110 and at least a pore-forming material 120.In some embodiments, it is the OSG compounds that mainly contain Si:O:C:H that structure forms material 110, and at least a pore-forming material 120 is the organic compounds that mainly contain C:H.In Fig. 2 b, composite membrane 100 is exposed to the activating chemical material of one or more for example ultraviolet energy sources and far-end generation simultaneously, and the both represents with reference number 130.In some embodiments, the exposing step that indicates in Fig. 2 b is carried out in can be under being lower than one or more temperature of 425 ℃ short time, thereby consumes whole heat budgets of substrate 50 as few as possible.Referring now to accompanying drawing 2c,, pore-forming material 120 is removed from composite membrane 100 basically and is stayed perforated membrane 140.Compare with composite membrane 100, the perforated membrane 140 of gained can have lower dielectric constant.
According to the chemical substance in the composite material that is included in the mixture, the actual temp and the time of common exposing step can change.In some embodiments, common exposing step more preferably 150 ℃ to 450 ℃, most preferably is 250 ℃ to 400 ℃ and carries out in about 450 ℃ or lower temperature.Common exposing step at about 60 minutes or still less, preferred about 30 minutes or still less, more preferably about 10 minutes or less time were carried out.In another embodiment of the present invention, the step that composite membrane is exposed at least a energy source and composite membrane is exposed to the chemical substance of activation is carried out in the mode of pulse.Employed in this article term " pulse " is the active chemistry of instigating composite membrane alternately to be exposed at least a energy source and comprising the far-end generation of reducing agent.In exposing as the embodiment of pulsed, pulse can with another pulse homophase or out-phase, pulsed exposes the frequency that takes place can be identical or different.
In another embodiment, at least a energy source can be exposed to composite membrane continuously, and comprises that the mode that the chemical substance of the activation of reducing agent can pulse is exposed to composite membrane.In another embodiment, the mode that at least a energy source can pulse is exposed to composite membrane, and comprises that the chemical substance of the activation of reducing agent can be exposed to composite membrane continuously.
In certain preferred embodiments of the present invention, perforated membrane is exposed to the chemical substance of activation once more, thereby further modification is present in carbon in the film with the form of carbon-containing residue.In this, thereby can form by at least a portion that is enough to remove at least a carbon containing pore-forming material at a section during time of perforated membrane or the processing at film At All Other Times in, composite membrane is exposed to the chemical substance of at least a energy source and activation and makes the partially modified at least of at least a carbon containing pore-forming material, thereby during composite membrane forms, form the residue of carbon containing by co-deposition method.The applicant finds: do not consider the origin of carbon-containing residue, the chemical substance that perforated membrane is exposed to activation will be removed the residue of carbon containing at least in part, and further reduce the dielectric constant of perforated membrane.
Therefore, on the other hand, the invention provides the method for the carbon in the modification dielectric film, this method comprises: form at least a portion of substrate and contain Si, C, O, H and Si-CH 3The composite membrane of group, wherein composite membrane comprises that at least a siliceous structure forms the pore-forming material of material and at least a carbon containing; Thereby one period that is enough at least a portion formation perforated membrane of at least a carbon containing pore-forming material of modification, composite membrane is exposed to synergistically the chemical substance of at least a energy source and activation, wherein, formed carbon-containing residue by the modification of at least a portion carbon in the film; With the chemical substance that perforated membrane is exposed to activation, thereby remove carbon-containing residue at least in part.The method according to this invention is removed carbon-containing residue from film after, the dielectric constant of film can be further reduced usually.During any following process of dielectric film, also carbon be can in perforated membrane, introduce, thereby between the tectonic epochs of electronic device, groove and passage produced.This can comprise photoresist, wet-chemical strippant component (corrosion inhibitor, salt, ion buffer, pH buffer, solvent etc.), CMP pulp components, CMP cleaning and other cleaning step.
Film by method preparation of the present invention is a porous.Depend on the character of process conditions and desired telolemma, the overall porosity of film can be 5 to 75%.Size ranges in the perforated membrane is extremely about 500  of about 1 , is preferably about 1  to about 100 , most preferably is about 1  to about 50 .Preferred film has the hole of narrow dimension scope, and these holes are distributed in whole film equably.Yet the porosity of whole film is not necessarily wanted evenly.In some embodiments, the layer that has porosity gradient and/or porosity change.This film can provide by the ratio of for example regulating pore-forming precursor and structure formation precursor during forming film.The porosity of film can have continuous or discrete hole.
In some embodiments of the present invention, perforated membrane of the present invention has lower dielectric constant with respect to common OSG material.In some embodiments, perforated membrane of the present invention has about dielectric constant of 2.7 to 1.5.In other embodiments, perforated membrane of the present invention has about dielectric constant of 2.7 to 1.8.
In some embodiments, dielectric material of the present invention and film are uniform substantially on forming.Composition homogeneity is meant that the composition of film is uniform relatively, has relatively little deviation from the surface of film to the bottom forming.On forming, show inhomogeneity film basically and can avoid the problem relevant with forming " top layer ".For example, because the radiation that is enough to remove the pore-forming precursor in the composite membrane surface by film only, can form " top layer " that is different from bottom block film on the composition in the use of UV line between exposure period and/or during the treatment step or electron beam.In addition, because the dielectric constant and the hardness of gained porous dielectric material depend on thickness, can in device, cause integration problem near the surface of film or the removing of pore former material of bottom.
In some embodiments, as mentioned above collaborative be exposed to the chemical substance and energy source of activation after, dielectric material has different performances with film.Normally, cvd film has the extinction coefficient in 240nm place>0.01, and it is by being used to measure the thickness of film and the reflectometer of refractive index is measured.Do not intend being subjected to any theory constraint, the extinction coefficient explanation of non-zero exists can absorb ultraviolet material, that is the amount of the big more then carbon-containing residue of extinction coefficient is big more.Therefore, combine with the extinction coefficient that derives from reflectometer, can measure the amount that is retained in the carbon residue in the film by FTIR is analyzed.In the preferred embodiment of the invention, collaborative be exposed to the chemical substance and energy source of activation after, obtain extinction coefficient in 240nm place<0.005.
Described film is suitable for various uses.Described film is particularly suitable for depositing on Semiconductor substrate, and is particularly suitable for as for example insulating barrier, interlayer dielectric layer and/or metal intermetallic dielectric layer.Described film can form conformal coating.The performance that these films showed makes them be particularly suitable for that Al removes technology and Cu inlays or dual damascene technology.
Described film is suitable for chemical-mechanical planarization (CMP) and anisotropic etching, and can be attached on the various materials, for example silicon, SiO 2, Si 3N 4, OSG, FSG, carborundum, hydrogenated silicon carbide, silicon nitride, hydrogenated silicon nitride, carbonitride of silicium, hydrogenated carbon silicon nitride, boron nitride, antireflecting coating, photoresist, organic polymer, porous is organic and inorganic material, such as the metal of copper and aluminium and diffusion impervious layer such as, but not limited to TiN, Ti (C) N, TaN, Ta (C) N, Ta, W or WN.
Although the present invention is particularly suitable for providing film, and product of the present invention in this article mainly is described as film, the invention is not restricted to this.Product of the present invention can provide by arbitrary form, for example coating, multilayer module, object that is decided to be other flat or thin type and the multiple object that not necessarily is used for integrated circuit differ.Preferably, substrate is a semiconductor.
To illustrate the present invention in more detail with reference to the following example, but be that the present invention is not regarded as being limited to this with being to be understood that.
Embodiment
The deposition of composite membrane:
Use the tool set of unadulterated TEOS technology, in the 200mm DxZ chamber that is equipped with Advance Energy 2000 rf makers, carry out sedimentation experiment in Applied Materials Precision-5000 system, report is shown in the Table I.Table I has been summed up the deposition that has the composite membrane of various carbon containing pore formers of the present invention.#2, #3, #4, #5, #6 film show similar when exposing to the #1 film.Each this composite membrane can at first be handled by energy source with the chemical substance of activation then, is exposed to the chemical substance and the energy source of activation simultaneously, perhaps is exposed to the chemical substance that energy source then is exposed to activation again.Embodiment 2-8 is exposed to predetermined embodiment on the #1 film simultaneously with the active chemistry that energy source and far-end produce.Embodiment 9-13 and 15-20 also are scheduled to.
Table I
Film Mixture Power (watt) (mil) at interval Pressure (holder) Temperature (℃) Flow of liquid (sccm) Carrier current (sccm) O 2Stream (sccm)
1 70∶30 ATRP∶DEMS 750 350 8 300 965 200;CO 2 25
2 70∶30 LIMO∶DEMS 600 350 8 300 750 200;CO 2 10
3 40∶60 NBDE∶DEMS 600 350 8 300 800 200;CO 2 0
4 80∶20 C8∶DEMS 600 350 8 300 700 200;CO 2 20
5 80∶20 C8∶DEMS 800 350 8 300 700 200;CO 2 20
6 50∶50 ENB∶DEMS 700 400 8 300 700 300;He 10
ATRP-α-terpinenes; The LIMO-limonene; NBDE-2, the 5-norbornadiene; The C8-cyclooctane; ENB-1,2-ethylidene norbornadiene; DEMS-diethoxymethyl silane
Embodiment 1
Use the PE-CVD process technology that composite membrane is deposited on the Si substrate.In embodiment 1, composite membrane is exposed under the UV source 5 minutes as mentioned above.After 5 minutes, wafer is removed from the chamber, and in the presence of UV light, cleaned 10 minutes, thereby remove pore former from window with oxygen.After purging the chamber with helium, sample is incorporated in the chamber and under helium purge (250sccm He flow velocity, chamber pressure 2 holders) once more was exposed to UV light other 8 minutes at 400 ℃.Then in the presence of UV light, use other 5 minutes of oxygen clean room.The dielectric constant of film is 2.5, and refractive index is 1.36, is 0.032 at the extinction coefficient of 240nm.
Embodiment 2
As described in these embodiments, with composite membrane be exposed to simultaneously active chemistry that energy source and far-end generate can be effective and the efficient highland remove pore former component in the composite membrane.
As mentioned above, use the PE-CVD process technology on the Si substrate, to deposit composite membrane, i.e. the #1 film.By the downstream microwave auxiliary plasma generator that has ion trap the hydrogen source that activates is infeeded in the UV chamber, with the basic deionization that removes from air-flow.Following condition is used to downstream microwave plasma body and UV chamber:
Microwave plasma: 1.5 holders; 5000sccm 5%H 2/ He; 350 ℃ of temperature; 1500 watts of power
UV source: 6000 watts of broadband bulbs (Fusion H+), 100% power, vacuum (<200 millitorr), 400 ℃, 5 minutes
In the UV chamber, in 400 ℃ described sample was exposed to the activation hydrogen of combination and UV light 5 minutes with pressing plate.By the exposed composite film, pore former is removed from described film basically, obtains having 2.2 dielectric constants ,~13 refractive indexes and at 240nm place 1 * 10 -4Or the film of littler extinction coefficient.
Embodiment 3
Use the PE-CVD process technology that composite membrane is deposited on the Si substrate.The plasma generator auxiliary by the downstream microwave that has ion trap infeeds the hydrogen source that activates in the electron beam chamber, with the basic deionization that removes from air-flow.Following condition is used to downstream microwave plasma body and electron beam chamber:
Microwave plasma: 1.5 holders; 5000sccm 5%H 2/ He; 350 ℃ of temperature; 1500 watts of power
Electron beam source: accelerating voltage 4KeV, amperage 3mA, 1000 μ C dosage, 400 ℃
In the chamber, reach 1000 μ C in 400 ℃ of activation hydrogen and direct electronic beam to dosage that described sample is exposed to combination with pressing plate.By the exposed composite film, pore former is removed from described film basically, obtain having 2.5 dielectric constants ,~1.3 refractive indexes and at 240nm place 1 * 10 -4Or the film of littler extinction coefficient.The use of activating substance has also increased the speed of removing of pore former when using other energy source.
Embodiment 4
Use the PE-CVD process technology that composite membrane is deposited on the Si substrate.The plasma generator auxiliary by the downstream microwave that has ion trap infeeds the hydrogen source that activates in the UV chamber, with the basic deionization that removes from air-flow.Following condition is used to downstream microwave plasma body and UV chamber:
Microwave plasma: 1.5 holders; 4990sccm 5%H 2/ He; 10sccm CF 4350 ℃ of temperature; 1500 watts of power
UV source: 6000 watts of broadband bulbs (Fusion H+), 100% power, vacuum (<200 millitorr), 400 ℃, 5 minutes
In the UV chamber, in 400 ℃ described sample was exposed to the activation hydrogen of combination and UV light 4 minutes with pressing plate.By the exposed composite film, pore former is removed from described film basically, obtain having 2.2 dielectric constants ,~1.3 refractive index and at 240nm place 1 * 10 -4Or the film of littler extinction coefficient.The interpolation of fluorocarbons has increased the efficient that pore former is removed technology.
Embodiment 5
Use the PE-CVD process technology that composite membrane is deposited on the Si substrate.The plasma generator auxiliary by the downstream microwave that has ion trap infeeds the hydrogen source that activates in the UV chamber, with the basic deionization that removes from air-flow.Following condition is used to downstream microwave plasma body and UV chamber:
Microwave plasma:
1.5 holder; 5000sccm 5%H 2/ He; 350 ℃ of temperature; 1500 watts of power
The UV source:
6000 watts of broadband bulbs (Fusion H+), 100% power, vacuum (<200 millitorr), 400 ℃, 5 minutes
10 composite membranes are repeated above-mentioned technology, and not be used in clean window or chamber between the wafer.After the 10th wafer, the transmitance in the zone of window between 200 to 360nm has only descended 1%.This embodiment is directly compared with the embodiment 2 that must clean window between each wafer.This shows that the window in the chamber does not need as cleaning usually.In addition, because the pore former catabolite can significantly not be deposited on the window, the output of instrument and whole technology obtains increasing.
Embodiment 6
Use the PE-CVD process technology that composite membrane is deposited on the Si substrate.The plasma generator auxiliary by the downstream microwave that has ion trap infeeds the hydrogen source that activates in the hot curing chamber, with the basic deionization that removes from air-flow.Following condition is used to downstream microwave plasma body and electron beam chamber:
Microwave plasma: 1.5 holders; 5000sccm 5%H 2/ He; 350 ℃ of temperature; 1500 watts of power
Hot curing: 400 ℃, vacuum (<200 millitorr)
With described sample in 400 ℃ of activation hydrogen that are exposed to combination and hot curing 10 minutes.By composite membrane being exposed to the hydrogen source and the thermal energy of activation, pore former is removed from described film basically, obtain having 2.6 dielectric constants ,~1.3 refractive index and at 240nm place 1 * 10 -4Or the film of littler extinction coefficient.The use of activating substance has also increased the speed of removing of pore former when using heat curing process; Typical hot curing was carried out under inert purge 20-30 minute.
Embodiment 7
Use the PE-CVD process technology will comprise that the composite membrane of DEMS and carbon containing pore former is deposited on the Si substrate.The plasma generator auxiliary by the downstream microwave that has ion trap infeeds the hydrogen source that activates in the UV chamber, with the basic deionization that removes from air-flow.Following condition is used to downstream microwave plasma body and UV chamber:
Microwave plasma:
1.5 holder; 5000sccm 5%H 2/ He; 350 ℃ of temperature; 1500 watts of power
The UV source:
6000 watts of broadband bulbs (Fusion H+), 100% power, atmospheric pressure (~700 holders, He), 400 ℃, 5 minutes
In the UV chamber with pressing plate under 400 ℃ of activation hydrogen that described sample are exposed to combination and UV light 5 minutes.By the exposed composite film, pore former is removed from described film basically, obtain having 2.5 dielectric constants ,~1.3 refractive indexes and at 240nm place 1 * 10 -4Or the film of littler extinction coefficient.Pressure in the chamber, promptly vacuum or the inert gas under atmospheric conditions can not influence the amount or the speed of removing pore former from film.
Embodiment 8
Use the PE-CVD process technology will comprise that the composite membrane of DEMS and carbon containing pore former is deposited on the Si substrate.The plasma generator auxiliary by the downstream microwave that has ion trap infeeds the hydrogen source that activates in the UV chamber, with the basic deionization that removes from air-flow.Following condition is used to downstream microwave plasma body and UV chamber:
Microwave plasma:
1.5 holder; 5000sccm 5%H 2/ He; 350 ℃ of temperature; 1500 watts of power
The UV source:
6000 watts of broadband bulbs (Fusion H+), 100% power, vacuum (<200 millitorr), 300 ℃, 5 minutes
In the UV chamber with pressing plate under 300 ℃ of activation hydrogen that described sample are exposed to combination and UV light 5 minutes.By the exposed composite film, pore former is removed from described film basically, obtain having 2.5 dielectric constants ,~1.3 refractive indexes and at 240nm place 1 * 10 -4Or the film of littler extinction coefficient.UV solidifies composite membrane and has increased the speed of removing pore former in the temperature that is lower than 400 ℃ from film when using activation hydrogen.
Embodiment 9
Present embodiment has showed that remote source is microwave plasma source and uses heated filament to remove pore former simultaneously.
Use the PE-CVD process technology will comprise that the composite membrane of DEMS and carbon containing pore former is deposited on the Si substrate.The plasma generator auxiliary by the downstream microwave that has ion trap infeeds the hydrogen source that activates in the HFCVD chamber, with the basic deionization that removes from air-flow.Following condition is used to downstream microwave plasma body and HFCVD chamber:
Microwave plasma:
1.5 holder; 5000sccm 5%H 2/ He; 350 ℃ of temperature, 1500 watts of power
HFCVD:
Heated filament is heated to 1000 ℃, H 2Gas is fed on the heated filament, and base-plate temp is 400 ℃
In the HFCVD chamber, be exposed under the activation hydrogen of combination and the heated filament 5 minutes in 400 ℃ of described samples with pressing plate.By the exposed composite film, pore former is removed from described film basically, obtain having 2.5 dielectric constants ,~1.3 refractive indexes and at 240nm place 1 * 10 -4Or the film of littler extinction coefficient.Being used in combination heated filament technology and remote microwave ion source causes the chemical substance that activates to increase.
Embodiment 10
Present embodiment has showed that using heated filament to produce the chemical substance that activates removes pore former.
Use the PE-CVD process technology will comprise that the composite membrane of DEMS and carbon containing pore former is deposited on the Si substrate.In the HFCVD chamber, produce the hydrogen source of activation, thereby produce the hydrogen source of activation in position by making hydrocarbon or hydrogen mixture pass through heated filament.Following condition is used to the HFCVD chamber:
HFCVD:
Heated filament is heated to 1000 ℃, H 2Gas is fed on the heated filament, and base-plate temp is 400 ℃
In the HFCVD chamber, in 400 ℃ described sample is exposed to generation under the chemical substance of the activation of heated filament 5 minutes with pressing plate.By the exposed composite film, pore former is removed from described film basically, obtain having 2.5 dielectric constants ,~1.3 refractive indexes and at 240nm place 1 * 10 -4Or the film of littler extinction coefficient.The hydrogen that use to produce the in-situ activation in the HFCVD chamber has increased the temperature that is being lower than 400 ℃ is removed pore former from composite membrane speed.
Embodiment 11
Present embodiment showed use heated filament as remote source and the chemical substance of using UV to produce activation simultaneously remove pore former.
Use the PE-CVD process technology will comprise that the composite membrane of DEMS and carbon containing pore former is deposited on the Si substrate.The hydrogen source of activation is fed in the UV chamber by the heated filament remote source.Following condition is used to heated filament remote source and UV chamber:
Heated filament:
Hot-wire temperature=1000 ℃, H 2Be provided to heated filament
The UV source:
6000 watts of broadband bulbs (Fusion H+), 100% power, vacuum (<200 millitorr), 300 ℃, 5 minutes
In the UV chamber with pressing plate under the hydrogen of 300 ℃ of activation that described sample are exposed to combination and UV light 5 minutes.By the exposed composite film, pore former is removed from described film basically, obtain having 2.5 dielectric constants ,~1.3 refractive indexes and at 240nm place 1 * 10 -4Or the film of littler extinction coefficient.Composite membrane uses the hydrogen of activation and UV curing to increase the speed of removing pore former in the temperature that is lower than 400 ℃ from film.
Embodiment 12
Present embodiment has showed that the use heated filament uses the thermal energy source to remove pore former as the chemical substance that remote source produces activation simultaneously.
Use the PE-CVD process technology will comprise that the composite membrane of DEMS and carbon containing pore former is deposited on the Si substrate.The hydrogen source of activation is fed in the hot curing chamber by the heated filament source.Following condition is used to heated filament source and hot curing:
Heated filament:
Hot-wire temperature=1000 ℃, H 2Gas is fed on the heated filament
Hot curing:
400 ℃, vacuum (<200 millitorr)
In the chamber with pressing plate under the hydrogen of 300 ℃ of activation that described sample are exposed to combination and hot curing 5 minutes.By the exposed composite film, pore former is removed from described film basically, obtain having 2.5 dielectric constants ,~1.3 refractive indexes and at 240nm place 1 * 10 -4Or the film of littler extinction coefficient.Composite membrane uses the hydrogen and the hot curing of activation to increase the speed of removing pore former in the temperature that is lower than 400 ℃ from film.
Embodiment 13
Present embodiment has been showed and is used heated filament to remove pore former as the chemical substance that remote source uses electron beam to produce activation simultaneously.
Use the PE-CVD process technology will comprise that the composite membrane of DEMS and carbon containing pore former is deposited on the Si substrate.The hydrogen source of activation is fed in the electron beam chamber by the heated filament source.Following condition is used to heated filament source and electron beam:
Heated filament:
Hot-wire temperature=1000 ℃, H 2Gas is fed on the heated filament
Electron beam source:
Accelerating voltage 4KeV, amperage 3mA, 1000 μ C dosage, 400 ℃
In the UV chamber with pressing plate under the hydrogen of 300 ℃ of activation that described sample are exposed to combination and electron beam 5 minutes.By the exposed composite film, pore former is removed from described film basically, obtain having 2.5 dielectric constants ,~1.3 refractive indexes and at 240nm place 1 * 10 -4Or the film of littler extinction coefficient.Composite membrane uses the hydrogen and the electronic beam curing of activation to increase the speed of removing pore former in the temperature that is lower than 400 ℃ from film.
Embodiment 14
Present embodiment has showed that use UV removes a part of pore former from film, any carbon (for example carbon-containing residue) that then uses one remote plasma source to remove not remove at the UV setting up period.
Use the PE-CVD process technology will comprise that the composite membrane of DEMS and carbon containing pore former is deposited on the Si substrate.Described film is solidified 10 minutes so that described film becomes porous by UV.The UV condition is listed in down:
The UV source:
6000 watts of broadband bulbs (Fusion H+), 100% power, vacuum (<200 millitorr), 300 ℃, 5 minutes
Microwave plasma:
1.2 holder; 1000sccm 5%H 2/ He; 10sccm CF 4300 ℃ of temperature; 1500 watts of power
Described sample solidifies the back at UV to be handled 3 minutes with microwave plasma source.Dielectric constant after UV solidifies is 2.5, refractive index is for~1.36, from FTIR (CH 3-Si/SiO) methyl content is 0.022m and from FTIR (CH 3-Si/HC) hydrocarbon content is 0.94.After using hydrogen to carry out the microwave plasma processing, dielectric constant is reduced to 2.35 from 2.5.Methyl content in film does not change, and hydrocarbon content has descended 32%.The mechanical performance of film does not change.
Embodiment 15
Present embodiment has showed that the use electron beam removes a part of pore former from film, then uses one remote plasma source to remove any carbon of not removing at the UV setting up period.
Use the PE-CVD process technology will comprise that the composite membrane of DEMS and carbon containing pore former is deposited on the Si substrate.First step is to remove a part of pore former with the preparation perforated membrane from composite membrane.Following condition is used to electron beam and remote plasma:
Electron beam source:
Accelerating voltage 4KeV, amperage 3mA, 1000 μ C dosage, 400 ℃
Microwave plasma:
1.2 holder; 1000sccm 5%H 2/ He; 10sccm CF 4300 ℃ of temperature; 1500 watts of power
After pore former is removed basically, use remote plasma that perforated membrane is for further processing, thereby generate the chemical substance of activation.The chemical substance of activation has been removed the carbonaceous material of not removing from perforated membrane.By the exposed composite film, pore former is removed from described film basically, obtain having 2.7 dielectric constants ,~1.39 refractive indexes and at the film of 240nm place 0.07 or bigger extinction coefficient.Use the hydrogen of activation to remove from film that unnecessary carbonaceous material obtains 2.4 dielectric constant, 1.35 refractive index and at 240nm 1 * 10 -4Extinction coefficient.
Embodiment 16
Present embodiment has showed that use heat treatment removes a part of pore former from film, any carbon that then uses one remote plasma source to remove not remove during hot curing.
Use the PE-CVD process technology will comprise that the composite membrane of DEMS and carbon containing pore former is deposited on the Si substrate.Composite membrane at first 400 ℃ processed 20 minutes from film, partly removing carbonaceous material, thereby produce perforated membrane.Following condition is used to thermal source and plasma source:
Microwave plasma:
1.5 holder; 5000sccm 5%H 2/ He; 350 ℃ of temperature, 1500 watts of power
Heat treatment:
400 ℃, vacuum (<200 millitorr)
Described sample is exposed to from the hydrogen of the activation of microwave plasma system following 5 minutes.After the hydrogen of activation was handled, the residual carbonaceous material that is not removed during hot curing was removed from film.Dielectric constant at the hot curing caudacoria is 2.85, and refractive index is 1.4, and there is a large amount of hydrocarbon in the FTIR of film demonstration.Use that the chemical substance treatment of activation can be reduced to dielectric constant 2.6, refractive index is reduced to 1.37, and the hydrocarbon in the film reduces.
Embodiment 17
Present embodiment has been showed the carbon containing pore former in the chemical substance modification composite membrane that uses activation, removes the carbon containing pore former of modification thereby then use such as the energy source of UV light.
Use the PE-CVD process technology will comprise that the composite membrane of DEMS and carbon containing pore former is deposited on the Si substrate.Composite membrane is at first handled 5 minutes with the carbon containing pore former in the porous system of Modified Membrane with the hydrogen source of activation.After the carbonaceous material modification, composite membrane is transported to the UV chamber, and described therein film solidified 4 minutes at 350 ℃.Following condition is used to plasma and UV source:
Microwave plasma:
1.5 holder; 5000sccm 5%H 2/ He; 350 ℃ of temperature, 1500 watts of power
The UV source:
6000 watts of broadband bulbs (Fusion H+), 100% power, vacuum (<200 millitorr), 350 ℃
After chemical substance and UV optical processing with activation, the dielectric constant of film is 2.35, and R1 is 1.35, is 0.006 at the extinction coefficient of 240nm.Compare with the film of independent use UV optical processing, the dielectric constant of the film of handling in this mode reduces 5-10%, and solidifies faster.
Embodiment 18
Present embodiment has been showed the carbon containing pore former in the chemical substance modification composite membrane that uses activation, removes the carbon containing pore former of modification thereby then expose by electron beam.
Use the PE-CVD process technology will comprise that the composite membrane of DEMS and carbon containing pore former is deposited on the Si substrate.Composite membrane is at first handled 5 minutes with the carbon containing pore former in the porous system of Modified Membrane with the hydrogen source of activation.After the carbonaceous material modification, composite membrane is transported to electron beam chamber, and described therein film solidified 4 minutes at 350 ℃.Following condition is used to plasma source and electron beam source:
Microwave plasma:
1.5 holder; 5000sccm 5%H 2/ He; 350 ℃ of temperature, 1500 watts of power
Electron beam source:
Accelerating voltage 4KeV, amperage 3mA, 1000 μ C dosage, 350 ℃
After chemical substance and electron beam treatment with activation, the dielectric constant of film is 2.41, and R1 is 1.36, is 0.003 at the extinction coefficient of 240nm.Compare with the film of independent use electron beam treatment, the dielectric constant of the film of handling in this mode reduces 5-10%, and solidifies faster.
Embodiment 19
Present embodiment has been showed the carbon containing pore former in the chemical substance modification composite membrane that uses activation, then passes through such as smelting furnace, hot plate, rtp chambers heat treatment, thereby removes the carbon containing pore former of modification.
Use the PE-CVD process technology will comprise that the composite membrane of DEMS and carbon containing pore former is deposited on the Si substrate.Composite membrane is at first handled 5 minutes with the carbon containing pore former in the porous system of Modified Membrane with the hydrogen source of activation.After the carbonaceous material modification, composite membrane is transported on the hot plate, handles 3 minutes in 400 ℃ in inert atmosphere.Following condition is used to plasma source:
Microwave plasma:
1.5 holder; 5000sccm 5%H 2/ He; 350 ℃ of temperature, 1500 watts of power
After chemical substance and heat treatment process with activation, the dielectric constant of film is 2.44, and R1 is 1.36, is 0.003 at the extinction coefficient of 240nm.Compare with the film that independent use hot curing is handled, the film of handling in this mode solidifies faster and can remove main carbon containing pore former.
Embodiment 20
Present embodiment has been showed and is used chemical substance and the UV light source of activation to remove carbon containing pore former crosslinked in the loose structure of DEMS/ porous composite film, that is the carbon containing pore former that is difficult to remove under the standard condition of cure.The film of these types that are modified by sedimentary condition in the PE-CVD chamber trends towards having high density networks, causes during the pore former of described technology is removed, almost not shrinking, thus production porous dielectric film.The relevant with this class film of other is: the carbon containing pore former can be retained in the film during forming groove and passage, then is removed in back one step, thereby the film of the low-k of porous is provided.The chemistry and the physical hazard that are caused by etching, ashing and wet cleaning procedure will be minimized, and the not control of the critical size of sacrificial metal line.
Use the PE-CVD process technology will comprise that the composite membrane of DEMS and carbon containing pore former is deposited on the Si substrate.In table 1 film 5, show a series of conditions that when forming unmanageable carbonaceous material, increase network connectivty.Multiple being conditioned to change other deposition process parameters of skeletal density and carbon containing pore former material type/form arranged, comprise temperature, pressure, spacing and power.
Composite membrane is at first handled 10 minutes with the carbon containing pore former in the porous system of Modified Membrane with the hydrogen source of activation.After the carbonaceous material modification, composite membrane is transported in the UV chamber, is cured in 400 ℃ therein.Curing for sample being exposed under the UV light 4 minutes at 400 ℃, is removed wafer in proper order from the UV chamber, in the presence of UV light with oxygen cleaning UV chamber 5 minutes, thereby any oxygen from cleaning is removed in the purging chamber, and wafer is put back in the chamber.This process is repeated other twice and (always has 3 curing schedules and 3 cleanings; 4+4+4 UV curing order).Following condition is used to plasma and UV source:
Microwave plasma:
0.5 holder; 5000sccm 50%H 2/ He; 350 ℃ of temperature, 1500 watts of power
The UV source:
6000 watts of broadband bulbs (Fusion H+), 100% power, vacuum (<200 millitorr)
After chemical substance and UV optical processing with activation, the dielectric constant of film is 2.55, and R1 is 1.37, is 0.02 at the extinction coefficient of 240nm.The shrinkage of film, that is cured film is<2% after curing with respect to the varied in thickness percentage of composite membrane.Then compare with chemical substance under relatively mild sedimentary condition by the UV processing with activation, although the dielectric constant of film does not obviously reduce, but this shows and can the arbitrary time during processing remove carbon elimination from film, can not cause the excess shrinkage of film when pore former is removed.
The description of the foregoing description and preferred embodiment should be counted as exemplary, and does not limit the present invention who is defined by the claims.What will readily appreciate that is, is not breaking away under the present invention who proposes as claim, can utilize the multiple variation and the combination of the feature that proposes above.This variation is not considered to the disengaging to the spirit and scope of the invention, and all these classes variations are intended being included in the scope of following claim.

Claims (61)

1. method that forms the porous dielectric film, this method comprises:
On at least a portion of substrate, form and comprise Si, C, O, H and Si-CH 3The composite membrane of group, wherein said composite membrane comprise that at least a siliceous structure forms the pore-forming material of material and at least a carbon containing; With
Described composite membrane is exposed to the chemical substance of activation, thereby the described carbon containing pore-forming material of modification is at least in part wherein measured at least 90% Si-CH in the film of deposition like this by FTIR after exposing step 3Material is retained in the described film.
2. the process of claim 1 wherein that by gas being exposed to the chemical substance that source of radio frequency energy forms described activation wherein said gas comprises and is selected from following gas: H 2, CO, CO 2, C 1-10Straight or branched, ring or many rings, saturated or unsaturated hydrocarbons, hydrazine and derivative thereof, sulphur and oxide thereof, H 2S, hydride, borine, ammonia, amine, silane, organosilan, phosphine, arsine,
Figure A2007101421930002C1
And their mixture.
3. the process of claim 1 wherein that the chemical substance of described activation forms by gas being exposed to the heated filament energy source, wherein said gas comprises and is selected from following gas: H 2, CO, CO 2, C 1-10Straight or branched, ring or many rings, saturated or unsaturated hydrocarbons, hydrazine and derivative thereof, sulphur and oxide thereof, H 2S, hydride, borine, ammonia, amine, silane, organosilan, phosphine, arsine,
Figure A2007101421930002C2
And their mixture.
4. the process of claim 1 wherein that the chemical substance of described activation forms by gas being exposed to the electron attachment energy source, wherein said gas comprises and is selected from following gas: H 2, CO, CO 2, C 1-10Straight or branched, ring or many rings, saturated or unsaturated hydrocarbons, hydrazine and derivative thereof, sulphur and oxide thereof, H 2S, hydride, borine, ammonia, amine, silane, organosilan, phosphine, arsine, And their mixture.
5. the process of claim 1 wherein that at least a silicon structure formation material that contains is selected from organosilan, organosiloxane and their mixture.
6. the process of claim 1 wherein described exposing step and collaborative the carrying out of step that described composite membrane is exposed at least a energy source.
7. the method for claim 6 wherein before described composite membrane is exposed to the chemical substance step of activation, carries out described composite membrane is exposed to the step of at least a energy source.
8. the method for claim 6 wherein after described composite membrane is exposed to the chemical substance step of activation, carries out described composite membrane is exposed to the step of at least a energy source.
9. the method for claim 6 wherein is exposed to described composite membrane the step of at least a energy source and carries out simultaneously with the chemical substance step that described composite membrane is exposed to activation.
10. the method for claim 6 is wherein carried out that in the mode of pulse described composite membrane is exposed to the step of at least a energy source and described composite membrane is exposed to the chemical substance step of activation.
11. the method for claim 2, wherein said source of radio frequency energy are the far end radio frequency energy sources.
12. the method for claim 3, wherein said heated filament energy source are far-end heated filament energy sources.
13. the method for claim 6, wherein said at least a energy source is selected from: alpha particle, beta particle, gamma-radiation, x-ray, high energy electron, electron beam energy source, ultra-violet radiation, heat, visible radiation, infrared radiation, microwave radiation, radio-frequency radiation, heated filament and their combination.
14. the method for claim 10, wherein at least a energy source comprises ultra-violet radiation.
15. the process of claim 1 wherein that described porous dielectric film is by formula Si vO wC xH yF zExpression, v+w+x+y+z=100 atom % wherein, v is 10 to 35 atom %, and w is 10 to 65 atom %, and x is 5 to 30 atom %, and y is that 10 to 50 atom % and z are 0 to 15 atom %.
16. the method for claim 15, wherein said formation step comprises:
Substrate is provided in vacuum chamber;
To comprise that at least a siliceous structure that is selected from organosilan and organosiloxane forms precursor gases and is different from the gaseous reagent of pore-forming precursor gases that at least a siliceous structure forms the carbon containing of precursor gases is incorporated in the vacuum chamber; And
Energy is applied on the gaseous reagent in the vacuum chamber, thereby causes the gaseous reagent reaction and on substrate, deposit composite membrane.
17. the method for claim 16 wherein contains silicon structure formation precursor gases and comprises at least a following material:
(a) by formula R 1 nSiR 2 4-nThe alkyl silane of expression, wherein n is 1 to 3 integer; R 1And R 2Be at least a side chain or straight chain C independently 1To C 8Alkyl (for example methyl, ethyl), C 3To C 8Replacement or unsubstituted ring alkyl, C 3To C 10The part unsaturated alkyl, C 6To C 12Replacement or unsubstituting aromatic yl, contain the undersaturated alkyl or aryl of straight chain, side chain, ring, part of alkoxyl, R accordingly 2Also can be hydride;
(b) by formula R 1(R 2 2SiO) nSiR 2 3Expression, wherein n is the straight chain organosiloxane of 1 to 10 integer, perhaps by formula (R 1R 2SiO) nExpression, wherein n is the epoxy/organosilicon oxygen alkane of 2 to 10 integer, R 1And R 2As above definition; With
(c) by formula R 2(SiR 1R 2) nR 2Expression, wherein n is the straight chain organosilan oligomer of 2 to 10 integer, perhaps by formula (SiR 1R 2) nExpression, wherein n is the epoxy/organosilicon alkane of 3 to 10 integer, R 1And R 2As above definition.
18. the method for claim 17, wherein contain silicon structure formation precursor gases and comprise at least a following material that is selected from: methyl-monosilane, dimethylsilane, trimethyl silane, tetramethylsilane, phenyl silane, aminomethyl phenyl silane, cyclohexyl silane, t-butylsilane, ethylsilane, diethylsilane, tetraethoxysilane, diethoxymethyl silane, dimethyldiethoxysilane, dimethyldimethoxysil,ne, dimethylethoxysilane, methyldiethoxysilane, triethoxysilane, trimethyl phenoxysilane, phenoxy group silane, 1,3,5, the 7-tetramethyl-ring tetrasiloxane, octamethylcy-clotetrasiloxane, hexamethyl cyclotrisiloxane, HMDO, 1,1,2,2-tetramethyl disiloxane and octamethyltrisiloxane.
19. the method for claim 18, the wherein said silicon structure formation precursor gases that contains comprises diethoxymethyl silane.
20. a method that forms perforated membrane, this method comprises:
On at least a portion of substrate, form and comprise Si, C, O, H and Si-CH 3The composite membrane of group, wherein said composite membrane comprise that at least a siliceous structure forms the pore-forming material of material and at least a carbon containing; With
Described composite membrane is exposed to simultaneously the chemical substance of at least a energy source and activation.
21. the method for claim 20, wherein by gas being exposed to the chemical substance that source of radio frequency energy forms described activation, wherein said gas comprises and is selected from following gas: H 2, CO, CO 2, C 1-10Straight or branched, ring or many rings, saturated or unsaturated hydrocarbons, hydrazine and derivative thereof, sulphur and oxide thereof, H 2S, hydride, borine, ammonia, amine, silane, organosilan, phosphine, arsine,
Figure A2007101421930004C1
And their mixture.
22. the method for claim 20, wherein by gas being exposed to the chemical substance that the heated filament energy source forms described activation, wherein said gas comprises and is selected from following gas: H 2, CO, CO 2, C 1-10Straight or branched, ring or many rings, saturated or unsaturated hydrocarbons, hydrazine and derivative thereof, sulphur and oxide thereof, H 2S, hydride, borine, ammonia, amine, silane, organosilan, phosphine, arsine,
Figure A2007101421930004C2
And their mixture.
23. the method for claim 20, wherein by gas being exposed to the chemical substance that the electron attachment energy source forms described activation, wherein said gas comprises and is selected from following gas: H 2, CO, CO 2, C 1-10Straight or branched, ring or many rings, saturated or unsaturated hydrocarbons, hydrazine and derivative thereof, sulphur and oxide thereof, H 2S, hydride, borine, ammonia, amine, silane, organosilan, phosphine, arsine,
Figure A2007101421930004C3
And their mixture.
24. the method for claim 20, the wherein at least a silicon structure formation material that contains is selected from organosilan, organosiloxane and their mixture.
25. the method for claim 21, wherein said source of radio frequency energy are the far end radio frequency energy sources.
26. the method for claim 22, wherein said heated filament energy source are far-end heated filament energy sources.
27. the method for claim 20, wherein said at least a energy source is selected from: alpha particle, beta particle, gamma-radiation, x-ray, high energy electron, electron beam source energy, ultra-violet radiation, heat, visible radiation, infrared radiation, microwave radiation, radio-frequency radiation, heated filament and their combination.
28. the method for claim 27, wherein at least a energy source comprises ultra-violet radiation.
29. the method for claim 20, wherein said porous dielectric film is by formula Si vO wC xH yF zExpression, v+w+x+y+z=100 atom % wherein, v is 10 to 35 atom %, and w is 10 to 65 atom %, and x is 5 to 30 atom %, and y is that 10 to 50 atom % and z are 0 to 15 atom %.
30. the method for claim 29, wherein said formation step comprises:
Substrate is provided in vacuum chamber;
To comprise that at least a siliceous structure that is selected from organosilan and organosiloxane forms precursor gases and is different from the gaseous reagent of pore-forming precursor that at least a siliceous structure forms the carbon containing of precursor gases is incorporated in the vacuum chamber; And
Energy is applied on the gaseous reagent in the vacuum chamber, thereby causes the gaseous reagent reaction and on substrate, deposit composite membrane.
31. the method for claim 30 wherein contains silicon structure formation precursor gases and comprises at least a following material:
(a) by formula R 1 nSiR 2 4-nThe alkyl silane of expression, wherein n is 1 to 3 integer; R 1And R 2Be at least a side chain or straight chain C independently 1To C 8Alkyl (for example methyl, ethyl), C 3To C 8Replacement or unsubstituted ring alkyl, C 3To C 10The part unsaturated alkyl, C 6To C 12Replacement or unsubstituting aromatic yl, contain the undersaturated alkyl or aryl of straight chain, side chain, ring, part of alkoxyl, R accordingly 2Also can be hydride;
(b) by formula R 1(R 2 2SiO) nSiR 2 3Expression, wherein n is the straight chain organosiloxane of 1 to 10 integer, perhaps by formula (R 1R 2SiO) nExpression, wherein n is the epoxy/organosilicon oxygen alkane of 2 to 10 integer, R 1And R 2As above definition; With
(c) by formula R 2(SiR 1R 2) nR 2Expression, wherein n is the straight chain organosilan oligomer of 2 to 10 integer, perhaps by formula (SiR 1R 2) nExpression, wherein n is the epoxy/organosilicon alkane of 3 to 10 integer, R 1And R 2As above definition.
32. the method for claim 31, wherein contain silicon structure formation precursor gases and comprise at least a following material that is selected from: methyl-monosilane, dimethylsilane, trimethyl silane, tetramethylsilane, phenyl silane, aminomethyl phenyl silane, cyclohexyl silane, t-butylsilane, ethylsilane, diethylsilane, tetraethoxysilane, diethoxymethyl silane, dimethyldiethoxysilane, dimethyldimethoxysil,ne, dimethylethoxysilane, methyldiethoxysilane, triethoxysilane, trimethyl phenoxysilane, phenoxy group silane, 1,3,5, the 7-tetramethyl-ring tetrasiloxane, octamethylcy-clotetrasiloxane, hexamethyl cyclotrisiloxane, HMDO, 1,1,2,2-tetramethyl disiloxane and octamethyltrisiloxane.
33. the method for claim 32, the wherein said silicon structure formation precursor gases that contains comprises diethoxymethyl silane.
34. the method for the carbon in the modification dielectric film, this method comprises:
On at least a portion of substrate, form and comprise Si, C, O, H and Si-CH 3The composite membrane of group, wherein said composite membrane comprise that at least a siliceous structure forms the pore-forming material of material and at least a carbon containing;
Thereby described composite membrane is exposed at least a energy source and active one section time formation perforated membrane that is enough at least a portion of at least a carbon containing pore-forming material of modification of chemical substance synergistically, wherein forms carbonaceous residue by at least a portion carbon in the Modified Membrane; With
Thereby described perforated membrane is exposed to active chemistry removes carbonaceous residue at least in part.
35. the method for claim 34, wherein by gas being exposed to the chemical substance that source of radio frequency energy forms described activation, wherein said gas comprises and is selected from following gas: H 2, CO, CO 2, C 1-10Straight or branched, ring or many rings, saturated or unsaturated hydrocarbons, hydrazine and derivative thereof, sulphur and oxide thereof, H 2S, hydride, borine, ammonia, amine, silane, organosilan, phosphine, arsine,
Figure A2007101421930006C1
And their mixture.
36. the method for claim 34, wherein by gas being exposed to the chemical substance that the heated filament energy source forms described activation, wherein said gas comprises and is selected from following gas: H 2, CO, CO 2, C 1-10Straight or branched, ring or many rings, saturated or unsaturated hydrocarbons, hydrazine and derivative thereof, sulphur and oxide thereof, H 2S, hydride, borine, ammonia, amine, silane, organosilan, phosphine, arsine,
Figure A2007101421930006C2
And their mixture.
37. the method for claim 34, wherein by gas being exposed to the chemical substance that the electron attachment energy source forms described activation, wherein said gas comprises and is selected from following gas: H 2, CO, CO 2, C 1-10Straight or branched, ring or many rings, saturated or unsaturated hydrocarbons, hydrazine and derivative thereof, sulphur and oxide thereof, H 2S, hydride, borine, ammonia, amine, silane, organosilan, phosphine, arsine, And their mixture.
38. the method for claim 34, the wherein at least a silicon structure formation material that contains is selected from organosilan, organosiloxane and their mixture.
39. the method for claim 35, wherein said source of radio frequency energy are the far end radio frequency energy sources.
40. the method for claim 36, wherein said heated filament energy source are far-end heated filament energy sources.
41. the method for claim 34, wherein said at least a energy source is selected from: alpha particle, beta particle, gamma-radiation, x-ray, high energy electron, electron beam source energy, ultra-violet radiation, heat, visible radiation, infrared radiation, microwave radiation, radio-frequency radiation, heated filament and their combination.
42. the method for claim 41, wherein at least a energy source comprises ultra-violet radiation.
43. the method for claim 34, wherein said porous dielectric film is by formula Si vO wC xH yF zExpression, v+w+x+y+z=100 atom % wherein, v is 10 to 35 atom %, and w is 10 to 65 atom %, and x is 5 to 30 atom %, and y is that 10 to 50 atom % and z are 0 to 15 atom %.
44. the method for claim 43, wherein said formation step comprises:
Substrate is provided in vacuum chamber;
To comprise that at least a structure that is selected from organosilan and organosiloxane forms precursor gases and is different from the gaseous reagent that at least a structure forms the pore-forming precursor of precursor gases is incorporated in the vacuum chamber; And
Energy is applied on the gaseous reagent in the vacuum chamber, thereby causes the gaseous reagent reaction and on substrate, deposit composite membrane.
45. the method for claim 44, wherein structure formation precursor gases comprises at least a following material:
(a) by formula R 1 nSiR 2 4-nThe alkyl silane of expression, wherein n is 1 to 3 integer; R 1And R 2Be at least a side chain or straight chain C independently 1To C 8Alkyl (for example methyl, ethyl), C 3To C 8Replacement or unsubstituted ring alkyl, C 3To C 10The part unsaturated alkyl, C 6To C 12Replacement or unsubstituting aromatic yl, contain the undersaturated alkyl or aryl of straight chain, side chain, ring, part of alkoxyl, R accordingly 2Also can be hydride;
(b) by formula R 1(R 2 2SiO) nSiR 2 3Expression, wherein n is the straight chain organosiloxane of 1 to 10 integer, perhaps by formula (R 1R 2SiO) nExpression, wherein n is the epoxy/organosilicon oxygen alkane of 2 to 10 integer, R 1And R 2As above definition; With
(c) by formula R 2(SiR 1R 2) nR 2Expression, wherein n is the straight chain organosilan oligomer of 2 to 10 integer, perhaps by formula (SiR 1R 2) nExpression, wherein n is the epoxy/organosilicon alkane of 3 to 10 integer, R 1And R 2As above definition.
46. the method for claim 45, wherein structure formation precursor gases comprises at least a following material that is selected from: methyl-monosilane, dimethylsilane, trimethyl silane, tetramethylsilane, phenyl silane, aminomethyl phenyl silane, cyclohexyl silane, t-butylsilane, ethylsilane, diethylsilane, tetraethoxysilane, diethoxymethyl silane, dimethyldiethoxysilane, dimethyldimethoxysil,ne, dimethylethoxysilane, methyldiethoxysilane, triethoxysilane, trimethyl phenoxysilane, phenoxy group silane, 1,3,5, the 7-tetramethyl-ring tetrasiloxane, octamethylcy-clotetrasiloxane, hexamethyl cyclotrisiloxane, HMDO, 1,1,2,2-tetramethyl disiloxane and octamethyltrisiloxane.
47. the method for claim 46, wherein said structure form precursor gases and comprise diethoxymethyl silane.
48. the method for claim 34, wherein said composite membrane are exposed to the chemical substance of at least a energy source and activation simultaneously.
49. the method for claim 34, wherein after being exposed to the chemical substance of activation, described composite membrane is exposed at least a energy source.
50. the method for claim 34, wherein before being exposed to the chemical substance of activation, described composite membrane is exposed at least a energy source.
51. the method for claim 34, wherein said composite membrane are exposed to the chemical substance of at least a energy source and activation in the mode of pulse.
52. the method for claim 1, wherein the carbon containing pore-forming material is selected from: α-terpinenes, limonene, cyclohexane, γ-terpinenes, amphene, dimethyl hexadiene, ethylbenzene, norbornadiene, cyclopentene oxide, cyclohexene oxide, cyclohexanone, cyclopentanone, 1,2,4-trimethyl-cyclohexane, 1,5-dimethyl-1, the diene of 5-cyclo-octadiene, amphene, adamantane, 1,3-butadiene, replacement, australene, nopinene, decahydronaphthalenes, cyclooctane, cyclo-octene, cyclo-octadiene, cycloheptane, cycloheptene, dimethyl hexadiene and their mixture.
53. the method for claim 20, wherein the carbon containing pore-forming material is selected from: α-terpinenes, limonene, cyclohexane, γ-terpinenes, amphene, dimethyl hexadiene, ethylbenzene, norbornadiene, cyclopentene oxide, cyclohexene oxide, cyclohexanone, cyclopentanone, 1,2,4-trimethyl-cyclohexane, 1,5-dimethyl-1,5-cyclo-octadiene, amphene, adamantane, 1,3-butadiene, replacement diene, australene, nopinene, decahydronaphthalenes, cyclooctane, cyclo-octene, cyclo-octadiene, cycloheptane, cycloheptene, dimethyl hexadiene and their mixture.
54. the method for claim 34, wherein the carbon containing pore-forming material is selected from: α-terpinenes, limonene, cyclohexane, γ-terpinenes, amphene, dimethyl hexadiene, ethylbenzene, norbornadiene, cyclopentene oxide, cyclohexene oxide, cyclohexanone, cyclopentanone, 1,2,4-trimethyl-cyclohexane, 1,5-dimethyl-1,5-cyclo-octadiene, amphene, adamantane, 1,3-butadiene, replacement diene, australene, nopinene, decahydronaphthalenes, cyclooctane, cyclo-octene, cyclo-octadiene, cycloheptane, cycloheptene, dimethyl hexadiene and their mixture.
55. the method for claim 13, wherein said at least a energy source is ultra-violet radiation and heat.
56. the method for claim 20, wherein said exposing step was carried out in the time of at least a portion that is enough to remove at least a carbon containing pore-forming material.
57. the method for claim 27, wherein said at least a energy source is ultra-violet radiation and heat.
58. the method for claim 41, wherein said at least a energy source is ultra-violet radiation and heat.
59. by the film of the method for claim 6 preparation, it has at 240nm<0.005 extinction coefficient.
60. by the film of the method for claim 20 preparation, it has at 240nm<0.005 extinction coefficient.
61. by the film of the method for claim 34 preparation, it has at 240nm<0.005 extinction coefficient.
CNA2007101421934A 2006-06-27 2007-06-27 Curing dielectric films under a reducing atmosphere Pending CN101101876A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US81689606P 2006-06-27 2006-06-27
US60/816896 2006-06-27
US11/764485 2007-06-18

Publications (1)

Publication Number Publication Date
CN101101876A true CN101101876A (en) 2008-01-09

Family

ID=39036073

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2007101421934A Pending CN101101876A (en) 2006-06-27 2007-06-27 Curing dielectric films under a reducing atmosphere

Country Status (1)

Country Link
CN (1) CN101101876A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101764059B (en) * 2008-12-25 2012-05-23 中芯国际集成电路制造(上海)有限公司 Dual-damascene structure forming method and groove forming method
CN101965230B (en) * 2008-03-04 2014-03-19 株式会社雷尼阿斯 Transparent resin plate and method for producing the same
CN107026100A (en) * 2016-02-01 2017-08-08 中芯国际集成电路制造(上海)有限公司 Semiconductor manufacturing facility and manufacture method
CN114481087A (en) * 2022-01-12 2022-05-13 三峡大学 Preparation method of silicon hydride carbon nitrogen oxygen transparent super-hydrophobic film

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101965230B (en) * 2008-03-04 2014-03-19 株式会社雷尼阿斯 Transparent resin plate and method for producing the same
CN101764059B (en) * 2008-12-25 2012-05-23 中芯国际集成电路制造(上海)有限公司 Dual-damascene structure forming method and groove forming method
CN107026100A (en) * 2016-02-01 2017-08-08 中芯国际集成电路制造(上海)有限公司 Semiconductor manufacturing facility and manufacture method
CN114481087A (en) * 2022-01-12 2022-05-13 三峡大学 Preparation method of silicon hydride carbon nitrogen oxygen transparent super-hydrophobic film

Similar Documents

Publication Publication Date Title
KR100907387B1 (en) Curing dielectric films under a reducing atmosphere
US7470454B2 (en) Non-thermal process for forming porous low dielectric constant films
CN101621001B (en) Mechanical enhancement of dense and porous organosilicate materials by uv exposure
CN100543947C (en) Be used for depositing the mixture of the organosilicate film of low-k
CN100552084C (en) Form the method and the dielectric film of dielectric film
KR100751990B1 (en) A process for capping an extremely low dielectric constant film and a substrate produced therefrom
CN1311097C (en) Mechanical enhancer additives for low dielectric films
JP2004320005A (en) Chemical vapor deposition process for fabricating porous organic silica film
JP2007204850A (en) Porogen, porogenated precursor and method for using the same to provide porous organosilica glass film with low dielectric constant
CN101060095A (en) Materials and methods of forming controlled void
JP5711176B2 (en) Composition
JP5785152B2 (en) Chemical vapor deposition
EP1420439B1 (en) Non-thermal process for forming porous low dielectric constant films
CN101101876A (en) Curing dielectric films under a reducing atmosphere

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication