CN100587938C - Basal plate carrying table and basal plate processing device - Google Patents

Basal plate carrying table and basal plate processing device Download PDF

Info

Publication number
CN100587938C
CN100587938C CN200710089462A CN200710089462A CN100587938C CN 100587938 C CN100587938 C CN 100587938C CN 200710089462 A CN200710089462 A CN 200710089462A CN 200710089462 A CN200710089462 A CN 200710089462A CN 100587938 C CN100587938 C CN 100587938C
Authority
CN
China
Prior art keywords
substrate
lifter pin
mounting table
table body
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200710089462A
Other languages
Chinese (zh)
Other versions
CN101047143A (en
Inventor
天野健次
田中善嗣
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101047143A publication Critical patent/CN101047143A/en
Application granted granted Critical
Publication of CN100587938C publication Critical patent/CN100587938C/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/1303Apparatus specially adapted to the manufacture of LCDs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Nonlinear Science (AREA)
  • Optics & Photonics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

The invention provides a basal plate carrying table, which is difficult to generate uneven treatment at the corresponding position of the trough hole of the lifting pin of the loading bench body on performing plasma treatment of basal plate. On plasma treatment, the base plate loading bench (4) of loading base plate (G) comprises: loading bench body(4a), and lifting pin (30) for plumb inserting trough the loading bench body(4a) and supporting the base plate by the front end thereof to make the base plate lift. At least the front end of the lifting pin (30) is electroconductive, and the liftingpin (30) can retreat to retreating position in the loading bench body(4a) when plasma treatment, and protrude from the loading bench body to support the supporting position of the supporting base plate. The adjustment is performed at the retreating position to make the height position of the front end below the back of the base plate (G) by 70~130 mu m.

Description

Substrate-placing platform and substrate board treatment
Technical field
The present invention relates to carry out in the substrate board treatment of processing such as dry ecthing the substrate board treatment of the substrate-placing platform of mounting substrate and this substrate-placing platform of application in container handling at substrates such as the glass substrate of liquid crystal indicator flat-panel monitors such as (LCD) (FPD) being made usefulness, semiconductor wafers.
Background technology
For example, in FPD or semi-conductive manufacturing process, glass substrate or semiconductor wafer as processed substrate are carried out dry ecthing, sputter, CVD various processing such as (chemical vapor-phase growings).
Such processing is for example carried out under the state on the substrate-placing platform that substrate-placing is being arranged in the chamber.Substrate is undertaken by a plurality of lifter pin liftings that substrate-placing platform is had with respect to the loading and unloading of substrate-placing platform.That is, when mounting substrate,, the substrate that is positioned on the carrying arm is transferred on the pin, and lifter pin is descended making under the lifter pin state outstanding from the surface of mounting table body.In addition, when unloading carried base board, from the state of substrate-placing on the mounting table body, lifter pin is risen, thereby substrate is risen from the mounting table body surface, under this state, substrate is transferred to carrying arm.Such technology is a conventional techniques, and is for example open in patent documentation 1.
Be that the glass substrate trend that the FPD of representative uses maximizes with LCD, require to surpass such huge substrate of 2m on one side, to utilize lifter pin to support under the situation of such large substrate, as in the past during the periphery of supporting substrate, glass substrate can produce bending, might be able to not carry out loading and unloading accurately, therefore have to lifter pin also is set at the central portion of substrate to substrate.Therefore, the central portion at glass substrate also is provided with lifter pin.
, carry out at the glass substrate that FPD is used disposing pair of parallel plate electrode (upper and lower electrode) under the situation of Etaching device of plasma etching in chamber, substrate-placing platform works as lower electrode.In such lower electrode, be provided with under the situation of lifter pin at the central portion at glass substrate as described above, viewpoint from the inner evenness that improves etch processes, the pin that uses conductivity is as lifter pin, and to make itself and lower electrode be same potential, thereby guarantee the uniformity of etch processes.
[patent documentation 1] spy opens flat 11-340208 communique
Yet, insulating properties substrates such as glass substrate and quartz base plate are being carried out under the situation of the such plasma treatment of plasma etching, with be formed at substrate-placing platform in the corresponding part of inserting hole of lifter pin, the electromagnetic field in sheath (sheath) zone is inhomogeneous, distinguish that thus the etch-rate of position is different with other parts directly over the hole of lifter pin.This is the main cause that the result can produce etch residue etc.
Summary of the invention
The present invention In view of the foregoing makes, its objective is provides a kind of when substrate is carried out plasma treatment, is difficult to produce the plasma processing apparatus of handling uneven substrate-placing platform and having such substrate-placing platform in the position corresponding with the inserting hole of the lifter pin of mounting table body.
In order to address the above problem, a first aspect of the present invention provides a kind of substrate-placing platform, it is characterized in that for the substrate-placing platform of mounting substrate in the container handling of the plasma processing apparatus that substrate is carried out plasma treatment, comprising: the mounting table body; With vertical insert logical above-mentioned mounting table body, to be provided with respect to the outstanding mode free lifting ground that submerges, the surface of above-mentioned mounting table body, with its front end supporting substrate so that a plurality of lifter pins of substrate elevating, wherein, at least the leading section of above-mentioned lifter pin is a conductivity, and, when can being located at plasma treatment, keeps out of the way above-mentioned lifter pin to the intrinsic retreating position of above-mentioned mounting table, with the Support Position of giving prominence to from above-mentioned mounting table body with supporting substrate, when being positioned at above-mentioned retreating position, adjust, make the height and position of its front end be below apart from the back side 70~130 μ m of substrate.
In above-mentioned first aspect, preferred above-mentioned lifter pin and above-mentioned mounting table body are same potential.
A second aspect of the present invention provides a kind of substrate-placing platform, it is mounting substrate in the container handling of the plasma processing apparatus that substrate is carried out plasma treatment and the substrate-placing platform that works as lower electrode, it is characterized in that, comprising: the mounting table body; With vertical insert logical above-mentioned mounting table body, to be provided with respect to the outstanding mode free lifting ground that submerges, the surface of above-mentioned mounting table body, with its front end supporting substrate so that a plurality of lifter pins of substrate elevating, wherein, when can being located at plasma treatment, keeps out of the way above-mentioned lifter pin to the intrinsic retreating position of above-mentioned mounting table, with the Support Position of giving prominence to from above-mentioned mounting table body with supporting substrate, and second lifter pin more than 1 that comprises the central part of a plurality of first lifter pins of periphery of supporting substrate and supporting substrate, at least the leading section of above-mentioned second lifter pin is a conductivity, when above-mentioned second lifter pin is positioned at above-mentioned retreating position, adjust, make the height and position of its front end be below apart from the back side 70~130 μ m of substrate.
In above-mentioned second aspect, preferred above-mentioned second lifter pin and above-mentioned mounting table body are same potential.In addition, in above-mentioned second aspect, preferably also comprise the control part of the lifting of controlling above-mentioned first lifter pin and above-mentioned second lifter pin independently.
In the invention of above-mentioned first aspect or second aspect, be the insulating properties substrate, so effective especially by the substrate of mounting.In addition, in above-mentioned first aspect or second aspect, preferably also comprise the height and position adjusting mechanism of the height and position of adjusting above-mentioned lifter pin.In addition, can constitute: have a plurality of protuberances that are made of insulator on the surface of above-mentioned mounting table body, substrate is positioned on this protuberance.In addition, can constitute: supply with the High frequency power that plasma generates usefulness to above-mentioned mounting table body.In addition, can constitute: above-mentioned mounting table body is configured in the container handling by the dividing plate (spacer) that is made of insulating element, make and between the diapire of above-mentioned mounting table body and above-mentioned container handling, form the space, making above-mentioned space is air atmosphere, utilizes the bolt that connects above-mentioned space that above-mentioned mounting table body is fixed on the diapire of above-mentioned container handling.
A third aspect of the present invention provides a kind of substrate board treatment, and it is for carrying out the plasma processing apparatus of plasma treatment to substrate, and it comprises: the container handling of accommodating substrate; Be arranged in the above-mentioned container handling substrate-placing platform of mounting substrate; In above-mentioned container handling, supply with the processing gas supply mechanism of handling gas; To carrying out the exhaust gear of exhaust in the above-mentioned container handling; Generate mechanism with the plasma that in above-mentioned process chamber, generates the plasma of handling gas, it is characterized in that the aforesaid substrate mounting table has the structure of above-mentioned first aspect or second aspect.
In the above-mentioned third aspect, above-mentioned plasma generates mechanism and can comprise: the aforesaid substrate mounting table that works as lower electrode; The upper electrode that is oppositely arranged with substrate-placing platform; With the high frequency electric source that applies High frequency power to substrate-placing platform.
According to the present invention, substrate is carried out a plurality of lifter pins of having in the substrate-placing platform of plasma processing apparatus of plasma treatment, at least its leading section is a conductivity, when when it is located at plasma treatment, keeping out of the way to the intrinsic retreating position of above-mentioned mounting table, adjust, make the height and position of its front end be below apart from substrate back 70~130 μ m, therefore, the inhomogeneous of in sheath (sheath) zone of the part corresponding electromagnetic field can be eliminated, thereby uniform plasma treatment can be carried out with the hole of lifter pin.
In addition, because when plasma treatment, handle inhomogeneous what become problem is the central portion of substrate, so, as long as second aspect, second lifter pin more than 1 that is divided into the central part of a plurality of first lifter pins of periphery of supporting substrate and supporting substrate, and second lifter pin carried out aforesaid Height Adjustment, just can carry out uniform plasma treatment, can reduce the number of the lifter pin that carries out Height Adjustment, thereby alleviate the work of adjusting operation.
Description of drawings
Fig. 1 is that expression is provided with the sectional view as the plasma-etching apparatus of an example of processing unit as the pedestal of the substrate-placing platform of an embodiment of the invention.
Fig. 2 is the plane graph of configuration that is used for illustrating the lifter pin of pedestal.
Fig. 3 is that the sectional view of expression is amplified on the top of the pedestal when lifter pin is positioned at retreating position.
Fig. 4 amplifies the sectional view of expression with the part of height and position adjusting mechanism that comprises the lifter pin of pedestal.
Fig. 5 is that expression is used to make peripheral lifter pin and the central lifter pin schematic diagram of the mechanism of lifting independently.
The schematic diagram of the height and position of the lifter pin when Fig. 6 is the etch processes of representing in the past.
Fig. 7 is the figure of configuration that is illustrated in the central lifter pin of the device that the experiment that is used for showing effect of the present invention uses.
Symbol description
1 plasma-etching apparatus
2 chambers (container handling)
4 pedestals (substrate-placing platform)
4a base body (mounting table body)
5 base materials
The 5a protuberance
6 insulating elements
7 dividing plates (spacer) parts
11 shower nozzles (gas feed unit)
20 exhaust apparatus
25a high frequency electric source (plasma generation unit)
30 lifter pins
30a periphery lifter pin
30b central authorities lifter pin
35 lower pin
40 support components
44 bellowss
50 height and position adjusting mechanisms
The G glass substrate
Embodiment
Below, with reference to accompanying drawing, embodiments of the present invention are described.Fig. 1 is that expression is provided with the sectional view as the plasma-etching apparatus of an example of processing unit as the pedestal of the substrate-placing platform of an embodiment of the invention.This plasma Etaching device 1 for to FPD with the sectional view that glass substrate G carries out the device of predetermined processing, constitute capacitive coupling type parallel flat plasma-etching apparatus.At this, as FPD, but illustration LCD (LCD), light-emitting diode (LED) display, electroluminescence (Electro Luminescence; EL) display, fluorescent display tube (Vacuum Fluorescent Display; VFD), plasma display (PDP) etc.
This plasma Etaching device 1 has the aluminium chamber 2 that constitute, that be configured as the square tube shape of being handled (anodized) by for example surface through pellumina.
Bottom in this chamber 2 is provided with as being used for the pedestal 4 of mounting as the substrate-placing platform of the glass substrate G of processed substrate.This pedestal 4 comprises: base body 4a; Be used to carry out a plurality of lifter pins 30 of glass substrate G with respect to the loading and unloading of base body 4a.
The supply lines 23 that is used for supply high frequency electric power is connected with base body 4a.This supply lines 23 is from branching into supply lines 23a and 23b midway, and adaptation 24a is connected with supply lines 23a with the high frequency electric source 25a that plasma generates usefulness, and adaptation 24b is connected with supply lines 23b with the high frequency electric source 25b that bias voltage generates usefulness.The frequency that plasma generates the high frequency electric source 25a of usefulness is the scope of 10~100MHz, for example is 13.56MHz.The high frequency electric source 25b that bias voltage generates usefulness is used for ion is introduced base body 4a, and the frequency of 50kHz~10MHz scope that frequency of utilization is lower than the high frequency electric source 25a of plasma generation usefulness for example is 3.2MHz.
Above said base 4, with the pedestal 4 parallel shower nozzles 11 that work as upper electrode that relatively are provided with.Shower nozzle 11 is supported on the top of chamber 2, has inner space 12 in inside, and, on the face relative, be formed with a plurality of squit holes 13 that gas is handled in ejection with pedestal 4.This shower nozzle 11 is grounded, and constitutes the pair of parallel plate electrode with pedestal 4.
Be provided with gas introduction port 14 above the shower nozzle 11, handle gas supply pipe 15 and be connected, handle gas supply source 18 and be connected with this processing gas supply pipe 15 with mass flow controller 17 by valve 16 with this gas introduction port 14.Supply with the processing gas that etching is used from handling gas supply source 18.As handling gas, can use halogen is gas, O 2Normally used gas in this field such as gas, Ar gas.
Be formed with blast pipe 19 in the bottom of above-mentioned chamber 2, exhaust apparatus 20 is connected with this blast pipe 19.Exhaust apparatus 20 comprises turbomolecular pump equal vacuum pump, can will be evacuated to the reduced atmosphere of regulation in the chamber 2 thus.In addition, the sidewall of chamber 2 is provided with substrate and moves into and take out of mouthfuls 21 and this substrate moved into take out of mouthful 21 gate valves that open and close 22, under the state that this gate valve 22 is opened, and adjacent load locking room (not shown) between conveyance substrate G.
Then, except Fig. 1,, the pedestal 4 as the substrate-placing platform of an embodiment of the invention is described also with reference to Fig. 2~4.Fig. 2 is the plane graph of configuration that is used for illustrating the lifter pin of pedestal 4, the sectional view of expression is amplified on the top of the pedestal 4 of Fig. 3 when lifter pin is positioned at retreating position, and Fig. 4 amplifies the sectional view of expression for the part of the height and position adjusting mechanism of the lifter pin that will comprise pedestal 4.
As mentioned above, this pedestal 4 comprises base body 4a and a plurality of lifter pin 30, and base body 4a comprises metal base material 5 and is arranged on the insulating element 6 of the periphery of base material 5.In addition, lifter pin 30 is made of conductive material, typically constitute by metal, as shown in Figure 2, be divided into the peripheral lifter pin 30a and the central lifter pin 30b of the periphery of support glass substrate G, the long limit of peripheral lifter pin 30a base body 4a is provided with 3, and minor face is provided with 2, add up to be provided with 10, central lifter pin 30b is provided with 3 at central portion.But the number of lifter pin is not limited thereto, and according to the size of processed substrate, best number is set suitably.
Shown in 1 figure, on the diapire 2a of chamber 2, be provided with the partition component 7 that constitutes by insulator in the mode corresponding with the periphery of base body 4a, base body 4a mounting is thereon.Seal airtightly between partition component 7 and the diapire 2a and between partition component 7 and the base body 4a, between base body 4a and diapire 2a, form the space 31 of air atmosphere.Utilize this space 31 to realize air insulation.In diapire 2a, be embedded with a plurality of insulating elements 32 that constitute by insulators such as potteries, utilize a plurality of bolts 33 in the through hole of vertical ground, the center setting be inserted in these a plurality of insulating elements 32 respectively, diapire 2a and base body 4a are fixed.By utilizing bolt 33 that diapire 2a and base body 4a are fixed like this, be retained as vacuum in the chamber 2, can prevent base body 4a bending.
On base body 4a, be on the surface of base material 5, be overshooting shape ground and be formed with a plurality of protuberance 5a that constitute by dielectric substance, these protuberances 5a is insulated the state that parts 6 surround around being in.The upper surface of the upper surface of insulating element 6 and protuberance 5a is an equal height, and under situation about glass substrate G being positioned on the base body 4a, it is in the upper surface state of contact with the upper surface and the protuberance 5a of insulating element 6.In addition, as shown in Figure 3, under protuberance 5a, be formed with by the thin dielectric layer 5b that constitutes with the same dielectric substance of protuberance 5a.
As shown in Figure 3, the leading section of lifter pin 30 is inserted and lead to the inserting hole 5c that is provided with in the base material 5 of base body 4a.Utilize not shown driving mechanism to carry out lifting, when plasma etching, as shown in Figure 3, be positioned at the retreating position of the base body 4a that submerges, when loading and unloading glass substrate G, be positioned at Support Position with the state support glass substrate G that gives prominence to upward from the surface of base body 4a.
During retreating position when lifter pin 30 is positioned at plasma etching, as shown in Figure 3, carry out Height Adjustment, make the height and position of its front end be position apart from the back side 70~130 μ m of glass substrate G.By carrying out Height Adjustment like this, in the time of can eliminating plasma etching, the electromagnetic field in the sheath zone of position is inhomogeneous directly over the inserting hole 5c.
In addition, as shown in Figure 4, insert in the lower pin 35 bottom of lifter pin 30, and this lower pin 35 is made of conductive material, typically is made of metal material, and the lower end of lower pin 35 is supported on the support component 40 by screw clamp.Lower pin 35 is extended to the below of chamber 2 by the inserting hole 2b on the diapire 2a that is arranged on chamber 2, and support component 40 is positioned at the outside of chamber 2.Support component 40 comprises electroconductive component 41 that constitutes top and the insulating element 42 that is arranged under it.Between the electroconductive component 41 and base body 4a of support component 40, be provided with the bellows 44 of the conductivity that is used to interdict vacuum atmosphere and air atmosphere.Therefore, lifter pin 30 is by bellows 44 and electroconductive component 41, and 4a is electrically connected with base body, and they are retained as same potential.
As shown in Figure 4, below support component 40, be provided with the height and position adjusting mechanism 50 (at Fig. 4, only the height and position adjusting mechanism 50 of Graphic Center's lifter pin 30b) of the height and position that is used for adjusting lifter pin 30.This height and position adjusting mechanism 50 comprises: with support component 40 cards latch for printed circuit 51 only; The guiding parts 52 that on above-below direction, latch for printed circuit 51 is led; Be arranged on the substrate parts 53 of the below of latch for printed circuit 51; With insertion below substrate parts 53, its front end contacts with latch for printed circuit 51 and a plurality of adjustment screws 54 of being provided with.This height and position adjusting mechanism 50 can carry out the height and position adjustment of lifter pin in air atmosphere.In addition, reference marks 56 metal wire (wire) for being used to lifter pin 30 is moved between retreating position and Support Position, this metal wire 56 is connected with not shown driving mechanism.By utilizing drive mechanism metal wire 56, make lifter pin 30, lower pin 35 and support component 40 lifting integratedly.The location of height and position adjusting mechanism 50 is by adjusting and carry out adjusting screw 54 under the state that support component 40 and latch for printed circuit 51 cards are ended.
In lifter pin 30, peripheral lifter pin 30a and central lifter pin 30b can independent-liftings.Promptly, as shown in Figure 5, peripheral lifter pin 30a utilizes driving mechanism 60a, carries out lifting by metal wire 56, support component 40 and lower pin in the lump, the lifter pin 30b of central authorities utilizes driving mechanism 60b, carries out lifting by metal wire 56, support component 40 and lower pin in the lump.These driving mechanisms 60a, 60b for example, can make the lifting of peripheral lifter pin 30a and central lifter pin 30b regularly stagger by controller 61 controls.
The processing action of the plasma-etching apparatus 1 that constitutes so then, is described.
In advance, utilize height and position adjusting mechanism 50 to carry out the position adjustment of the lifter pin 30 of retreating position.Specifically, utilize driving mechanism to make support component 40 become the state that ends with latch for printed circuit 51 cards, adjust adjusting screw 54, so that latch for printed circuit 51 liftings, use amesdial etc. to adjust, make the height and position of front end of lifter pin 30 be upper surface 70~130 μ m apart from the protuberance 5a of base body 4a.
Under the state of the position adjustment of having carried out lifter pin 30 like this, at first, utilize not shown carrying arm, will be as the glass substrate G of processed substrate, never illustrated load locking room is moved into by substrate and to be taken out of mouthfuls 21, moves in the chamber 2, and be positioned on the base body 4a, promptly be positioned on the lip-deep protuberance 5a and insulating element 6 that constitutes by dielectric substance that is formed at base body 4a.In this case, make lifter pin 30 outstanding upward, the glass substrate G on the carrying arm is transferred on the lifter pin 30 to be located at the Support Position.Then, lifter pin 30 is descended, glass substrate G is positioned on the base body 4a.The lifting of the lifter pin 30 of this moment is in proper order: when rising, peripheral lifter pin 30a is risen earlier, postpone a little, central lifter pin 30b is risen.On the other hand, when descending, central lifter pin 30b is descended, postpone a little, peripheral lifter pin 30a is descended.Be about 0.5~2sec the time of delay of this moment.Like this, by glass substrate G being lifted from periphery, for example, even under the situation that glass substrate G and base body 4a are close to, substrate G is separated with base body 4a, thereby can prevent that glass substrate G from breaking.In addition, when descending, begin glass substrate G is positioned on the base body 4a from central portion, therefore, can mounting glass substrate G, and can not make substrate G have useless stress etc., the central portion of glass substrate G is produced and base body 4a between gap etc.
Then, closing gate valve 22 utilizes exhaust apparatus 20 to be evacuated to the specified vacuum degree in the chamber 2.Then, open valve 16, utilize mass flow controller 17 to adjust flow on one side, to handle the inner space 12 that gas imports shower nozzle 11 from handling gas supply source 18 by handling gas supply pipe 15 and gas introduction port 14 on one side, spray to substrate G equably by squit hole 13 again, regulate air displacement on one side, on one side chamber 2 internal controls are made as authorized pressure.
Under this state, pass through adaptation 24a from high frequency electric source 25a, apply the High frequency power that plasma generates usefulness to base body 4a, between as the pedestal 4 of lower electrode and shower nozzle 11, produce high-frequency electric field as upper electrode, generate the plasma of handling gas, utilize this plasma that glass substrate G is carried out etch processes.In addition, from high frequency electric source 25b,, apply the High frequency power that bias voltage generates usefulness to base body 4a by adaptation 24b.
When carrying out etch processes like this, in the past, as shown in Figure 6, the front position of lifter pin 30 was adjusted into the height roughly the same with the bottom surface of protuberance 5a.That is, because the height of protuberance 5a is to the maximum about 50 μ m, so the distance at the back side of the front end of lifter pin 30 and glass substrate G was below the 50 μ m in the past.But under this state, distinguish: the part corresponding with inserting hole 5c in the sheath zone above glass substrate G, it is inhomogeneous to generate an electromagnetic field.When the electromagnetic field that produces such sheath zone is inhomogeneous, the etch-rate of this part will be different with other parts, and the result can produce etch residue.Especially, in the insulated substrates such as glass substrate G of Shi Yonging, this trend is remarkable in the present embodiment.
Therefore, the inventor studies this point, and the result distinguishes that by adjusting the height and position of lifter pin 30, the electromagnetic field that can eliminate such sheath zone is inhomogeneous.Promptly, the sheath zone of the height article on plasma body of the front end of the lifter pin 30 of conductivity is influential, by making it highly for suitable height, the electromagnetic field size that can make position directly over the inserting hole 5c in sheath zone be same degree around it, thereby can carry out uniform etching.
If adjust, make the height and position of front end of lifter pin 30 be below apart from the back side 70~130 μ m of glass substrate G, perhaps, when the height of protuberance 5a is 50 μ m, make this height and position for the below of distance, then can suppress inhomogeneous by the inhomogeneous etching that causes of electromagnetic field as surface 20~80 μ m of the base body 4a of the bottom surface of protuberance 5a.When the height and position of the front end of lifter pin 30 during less than 70 μ m, directly over the inserting hole 5c of lifter pin 30 part than its peripheral part etching many, on the other hand, when this height and position surpasses 130 μ m, be difficult to etched on the contrary, part must be lacked than its peripheral part etching directly over the inserting hole 5c of lifter pin 30, all is difficult to carry out uniform etching.
In fact the Height Adjustment of such lifter pin will become the central lifter pin 30b particular importance of goods for the counterpart of anticipation glass substrate G.The position corresponding with peripheral lifter pin 30a can not become goods usually, therefore, for peripheral lifter pin 30a, not necessarily needs to carry out height and position adjustment as described above.Therefore, can be only carry out above-mentioned tight Height Adjustment like that, not carry out adjustment as described above, utilize easy method such as visual to carry out for peripheral lifter pin 30a to central lifter pin 30b.In this case, the number of the lifter pin that carries out Height Adjustment can be reduced, thereby the work of adjusting operation can be alleviated.
In addition, the prerequisite of the Height Adjustment of such lifter pin is that base body 4a can not produce distortion in fact.That is, when base body 4a can produce distortion, even carry out the position adjustment of lifter pin 30 accurately, the position of the front end of the back side of glass substrate G and lifter pin 30 also can change.The position adjustment of lifter pin 30 will become nonsensical.But glass substrate G maximizes, and accompanies therewith, base body 4a maximizes, and adopts air insulation to make air insulation between the diapire of base body 4a and chamber 2 as mentioned above, therefore, when vacuumizing in chamber 2, base body 4a is out of shape because of crooked easily.Therefore, in the present embodiment, diapire and the base body 4a with chamber 2 fixes with a plurality of bolts 33, the bending of base body 4a when preventing to vacuumize, thus carry out the location of lifter pin 30 effectively.
After carrying out etch processes like this, after stopping to apply High frequency power and stopping import to handle gas, the pressure in the chamber 2 are adjusted into the pressure of regulation from high frequency electric source 25, utilize lifter pin 30 to make glass substrate G rise to the Support Position.At this moment, as mentioned above, peripheral lifter pin 30a is risen, postpone a little, central lifter pin 30b is risen.Under this state, open gate valve 22, not shown carrying arm is inserted in the chamber 2, the glass substrate G that will be positioned on the lifter pin 30 is transferred on the carrying arm.Then, lifter pin 30 is descended.When this descends, central lifter pin 30b is descended, postpone a little, peripheral lifter pin is descended.On the other hand, be positioned in the glass substrate G on the carrying arm, move into by substrate and take out of mouth 21, in chamber 2, take out of to not shown load locking room.
The experiment of the effect of the height and position adjustment of confirming lifter pin of the present invention then, is described.
At this, dispose rectangular amorphous silicon substrate, feasible covering is positioned at 3 central lifter pin 30b (No.1, No.2, No.3) of plan position approach shown in Figure 7, change the height and position (test 1~4) of pin front end, carry out etching (etching of amorphous silicon) under the following conditions, carry out the affirmation (visual) of pin vestige and the mensuration of etch step poor (step difference of position and pin periphery directly over the pin inserting hole).In Fig. 7, the white central lifter pin of circle expression, the black peripheral lifter pin of circle expression.Rectangular periphery is provided with most of glass substrate that is covered by silicon nitride film.In addition, the pin periphery is the position of leaving the about 30mm of central point of central lifter pin.
Etching condition
Pressure:<6.7Pa
High frequency power
Plasma generates with high frequency (13.56MHz)=18kW
Bias voltage generates with high frequency (3.2MHz)=7.5kW
Etching gas
Cl 2/SF 6=6000/427mL/min(sccm)
Etching period: 20sec
Show the result in table 1.In table 1, utilizing the metewand of the visual pin vestige evaluation of carrying out to be: A---the pin vestige is dark, can find out; B---the pin vestige is shallow, but can find out; C---almost cannot see from the surface, can find out some D from the back side a little: do not sell vestige.
Figure C20071008946200161
As shown in table 1, be arranged in the test 1,2 of the position lower than the position of the distance glass substrate G back side 130 μ m at the height and position of the front end of central lifter pin, the pin vestige mostly is " B " that can find out on the surface greatly, is the little trend of etch depth of part directly over the lifter pin, and the result is insufficient.On the other hand, height and position estimating in 3 at the front end of lifter pin 30 for 50 μ m (with the roughly the same height in the bottom surface of protuberance 5a) littler apart from substrate back than 70 μ m, etched trend is opposite, the etch depth of part is big directly over the lifter pin, and pin vestige existence evaluation " A ", the result is also insufficient.Relative therewith, the back side that is arranged in apart from glass substrate G at the height and position of the front end of central lifter pin is the test 4 of 100 μ m of scope of the present invention, being evaluated as of pin vestige " C~D ", and for well, the etch step difference is little value to-1.3~0.9nm.Confirmed effect of the present invention thus.
The present invention is not limited to above-mentioned execution mode, can carry out various distortion.
For example, in the above-described embodiment, illustrated substrate-placing platform of the present invention is applied to apply the example as the pedestal of lower electrode in the capacitive coupling type parallel flat plasma-etching apparatus of RIE type of High frequency power to lower electrode, but be not limited thereto, also can be applied to other plasma processing apparatus such as ashing, CVD film forming, also can be the type to upper electrode supply high frequency electric power, and be not limited to the capacitive coupling type, also can be the inductance coupling high type.
In addition, in the above-described embodiment, make lifter pin 30 by bellows 44 and base body 4a conducting, making them is same potential, but lifter pin 30 also can be (floating) state that floats.
In addition, in the above-described embodiment, the FPD that the uses insulating properties example of glass substrate G as processed substrate being described, but being not limited thereto, also can be other substrate.

Claims (12)

1. substrate-placing platform, it is characterized in that for the substrate-placing platform of mounting substrate in the container handling of the plasma processing apparatus that substrate is carried out plasma treatment, comprising:
The mounting table body; With
Insert logical described mounting table body vertical, with respect to the outstanding mode free lifting ground setting of submerging in the surface of described mounting table body, with its front end supporting substrate so that a plurality of lifter pins of described substrate elevating,
At least the leading section of described lifter pin is a conductivity, and, when can being located at plasma treatment, keeps out of the way described lifter pin to the intrinsic retreating position of described mounting table with from the outstanding Support Position of described mounting table body with supporting substrate, when being positioned at described retreating position, adjust, make the height and position of its front end be below apart from the back side 70~130 μ m of substrate.
2. substrate-placing platform as claimed in claim 1 is characterized in that:
Described lifter pin and described mounting table body are same potential.
3. substrate-placing platform, it is characterized in that for mounting substrate in the container handling of the plasma processing apparatus that substrate is carried out plasma treatment and the substrate-placing platform that works as lower electrode, comprising:
The mounting table body; With
Insert logical described mounting table body vertical, with respect to the outstanding mode free lifting ground setting of submerging in the surface of described mounting table body, with its front end supporting substrate so that a plurality of lifter pins of described substrate elevating,
When can being located at plasma treatment, keeps out of the way described lifter pin to the intrinsic retreating position of described mounting table with from the outstanding Support Position of described mounting table body with supporting substrate, and second lifter pin more than 1 that comprises the central part of a plurality of first lifter pins of periphery of supporting substrate and supporting substrate
At least the leading section of described second lifter pin is a conductivity, when described second lifter pin is positioned at described retreating position, adjusts, and makes the height and position of its front end be the below apart from the back side 70~130 μ m of substrate.
4. substrate-placing platform as claimed in claim 3 is characterized in that:
Described second lifter pin and described mounting table body are same potential.
5. as claim 3 or 4 described substrate-placing platforms, it is characterized in that:
The control part that also comprises the lifting of controlling described first lifter pin and described second lifter pin independently.
6. as claim 1 or 3 described substrate-placing platforms, it is characterized in that:
By the substrate of mounting is the substrate of insulating properties.
7. as claim 1 or 3 described substrate-placing platforms, it is characterized in that:
The height and position adjusting mechanism that also comprises the height and position of adjusting described lifter pin.
8. as claim 1 or 3 described substrate-placing platforms, it is characterized in that:
Have a plurality of protuberances that are made of insulator on the surface of described mounting table body, substrate is positioned on this protuberance.
9. as claim 1 or 3 described substrate-placing platforms, it is characterized in that:
Supply with the High frequency power that plasma generates usefulness to described mounting table body.
10. as claim 1 or 3 described substrate-placing platforms, it is characterized in that:
Described mounting table body is configured in the container handling by the dividing plate that is made of insulating element, make and between the diapire of described mounting table body and described container handling, form the space, making described space is air atmosphere, utilizes the bolt that connects described space that described mounting table body is fixed on the diapire of described container handling.
11. a substrate board treatment, it is for carrying out the plasma processing apparatus of plasma treatment to substrate, and it comprises:
Accommodate the container handling of substrate;
Be arranged in the described container handling substrate-placing platform of mounting substrate;
In described container handling, supply with the processing gas supply mechanism of handling gas;
To carrying out the exhaust gear of exhaust in the described container handling; With
The plasma that generates the plasma of handling gas in described process chamber generates mechanism,
It is characterized in that: described substrate-placing platform has in the claim 1~8 each structure.
12. substrate board treatment as claimed in claim 11 is characterized in that:
Described plasma generates mechanism and comprises: the described substrate-placing platform that works as lower electrode; The upper electrode that is oppositely arranged with substrate-placing platform; With the high frequency electric source that applies High frequency power to substrate-placing platform.
CN200710089462A 2006-03-31 2007-03-23 Basal plate carrying table and basal plate processing device Active CN100587938C (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006096799 2006-03-31
JP2006096799A JP4597894B2 (en) 2006-03-31 2006-03-31 Substrate mounting table and substrate processing apparatus

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN2009102534464A Division CN101707186B (en) 2006-03-31 2007-03-23 Substrate placing stage and substrate processing apparatus

Publications (2)

Publication Number Publication Date
CN101047143A CN101047143A (en) 2007-10-03
CN100587938C true CN100587938C (en) 2010-02-03

Family

ID=38676181

Family Applications (2)

Application Number Title Priority Date Filing Date
CN200710089462A Active CN100587938C (en) 2006-03-31 2007-03-23 Basal plate carrying table and basal plate processing device
CN2009102534464A Active CN101707186B (en) 2006-03-31 2007-03-23 Substrate placing stage and substrate processing apparatus

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2009102534464A Active CN101707186B (en) 2006-03-31 2007-03-23 Substrate placing stage and substrate processing apparatus

Country Status (4)

Country Link
JP (1) JP4597894B2 (en)
KR (2) KR100887459B1 (en)
CN (2) CN100587938C (en)
TW (1) TWI427733B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107527837A (en) * 2016-06-17 2017-12-29 东京毅力科创株式会社 The maintaining method of substrate board treatment, maintenance utensil and substrate board treatment

Families Citing this family (215)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5302541B2 (en) * 2008-01-09 2013-10-02 株式会社日立ハイテクノロジーズ Plasma processing equipment
KR101588566B1 (en) * 2008-03-20 2016-01-26 어플라이드 머티어리얼스, 인코포레이티드 Susceptor with roll-formed surface and method for making same
JP2010084164A (en) * 2008-09-29 2010-04-15 Epson Toyocom Corp Plasma treatment apparatus
JP5356769B2 (en) * 2008-10-15 2013-12-04 東京エレクトロン株式会社 Mounting table
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103227086B (en) * 2012-01-31 2015-09-30 中微半导体设备(上海)有限公司 A kind of slide holder for plasma processing apparatus
JP5994090B2 (en) * 2012-02-29 2016-09-21 株式会社ブイ・テクノロジー Laser processing equipment
KR101395288B1 (en) * 2012-05-21 2014-05-15 주성엔지니어링(주) Deposition apparatus for thin film and deposition method using the same
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6369054B2 (en) * 2014-03-03 2018-08-08 東京エレクトロン株式会社 Substrate placing apparatus and substrate processing apparatus
KR101594928B1 (en) * 2014-03-06 2016-02-17 피에스케이 주식회사 Apparatus and method for treating a substrate
KR102071497B1 (en) * 2014-04-01 2020-01-30 주식회사 원익아이피에스 Substrate processing apparatus
CN105470180A (en) * 2014-09-05 2016-04-06 北京北方微电子基地设备工艺研究中心有限责任公司 Wafer lifting assembly, and mechanical arm used for placing wafer on or taking wafer from wafer lifting assembly
CN104238158B (en) * 2014-09-23 2017-02-08 深圳市华星光电技术有限公司 Lifting device and lifting system
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWM539571U (en) * 2015-07-27 2017-04-11 應用材料股份有限公司 Substrate lift pin actuator
JP6817745B2 (en) * 2015-09-01 2021-01-20 東京エレクトロン株式会社 Substrate processing device, lift pin height position detection method, lift pin height position adjustment method, and lift pin abnormality detection method
CN106486411B (en) * 2015-09-01 2019-06-11 东京毅力科创株式会社 Substrate board treatment, the position detection of lifter pin, adjusting and method for detecting abnormality
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN107305858B (en) * 2016-04-20 2020-11-10 北京北方华创微电子装备有限公司 Thimble mechanism and precleaning cavity
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6650841B2 (en) * 2016-06-27 2020-02-19 東京エレクトロン株式会社 Substrate lifting mechanism, substrate mounting table and substrate processing device
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN107799453B (en) * 2016-09-07 2020-02-14 北京北方华创微电子装备有限公司 Electrostatic chuck and semiconductor processing device
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6797063B2 (en) * 2017-04-14 2020-12-09 東京エレクトロン株式会社 Pin control method and substrate processing equipment
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US20190088518A1 (en) * 2017-09-20 2019-03-21 Applied Materials, Inc. Substrate support with cooled and conducting pins
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US20210305070A1 (en) * 2017-10-17 2021-09-30 Ulvac, Inc. Object processing apparatus
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
CN108231520B (en) * 2017-12-29 2020-02-14 信利(惠州)智能显示有限公司 Substrate dry etching device
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
JP6846384B2 (en) * 2018-06-12 2021-03-24 東京エレクトロン株式会社 Method of controlling high frequency power supply of plasma processing equipment and plasma processing equipment
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
DE102018006903A1 (en) * 2018-08-30 2020-03-05 Vat Holding Ag Galvanically isolated pin lifting device
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
DE102018007307A1 (en) * 2018-09-17 2020-03-19 Vat Holding Ag Pen lifter
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
JP7451540B2 (en) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド Feedback loop for controlling pulsed voltage waveforms
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
JP2020167288A (en) * 2019-03-29 2020-10-08 東京エレクトロン株式会社 Plasma processing apparatus and maintenance method of the same
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
JP7278175B2 (en) * 2019-08-23 2023-05-19 東京エレクトロン株式会社 SUBSTRATE PROCESSING APPARATUS, MANUFACTURING METHOD AND MAINTENANCE METHOD FOR SUBSTRATE PROCESSING APPARATUS
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210086748A (en) * 2019-12-30 2021-07-09 세메스 주식회사 Method for lifting substrate and apparatus for treating substrate
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
JP2021111783A (en) * 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Channeled lift pin
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
CN113518510B (en) * 2020-04-10 2022-10-11 南通深南电路有限公司 PCB glue removing device and method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
KR20210145080A (en) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Apparatus for depositing thin films using hydrogen peroxide
KR102582696B1 (en) * 2020-06-15 2023-09-26 세메스 주식회사 Apparatus for treating substrate, method for measuring height difference of lift pins and computer readable recording medium recoring program
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
JP2022113491A (en) 2021-01-25 2022-08-04 東京エレクトロン株式会社 Substrate mounting table and substrate processing method
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
CN114231943A (en) * 2021-12-13 2022-03-25 深圳优普莱等离子体技术有限公司 Two-stage lifting system and equipment for chemical vapor deposition
JP2023137547A (en) 2022-03-18 2023-09-29 東京エレクトロン株式会社 Substrate mounting table, substrate processing device, and substrate processing method
JP2023137546A (en) 2022-03-18 2023-09-29 東京エレクトロン株式会社 Substrate mounting table, substrate processing device, and substrate processing method
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0164618B1 (en) * 1992-02-13 1999-02-01 이노우에 쥰이치 Plasma process method using an electrostatic chuck
US5665167A (en) * 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
JPH0774234A (en) * 1993-06-28 1995-03-17 Tokyo Electron Ltd Electrode structure of electrostatic chuck, its assembly method, its assembly jig and treatment apparatus
JP3005461B2 (en) * 1995-11-24 2000-01-31 日本電気株式会社 Electrostatic chuck
JP4461507B2 (en) * 1999-06-03 2010-05-12 東京エレクトロン株式会社 Deposition equipment
TW503442B (en) * 2000-02-29 2002-09-21 Applied Materials Inc Coil and coil support for generating a plasma
JP2002246160A (en) * 2001-02-19 2002-08-30 Ibiden Co Ltd Hot plate unit
JP2002270681A (en) * 2001-03-07 2002-09-20 Anelva Corp Electrostatic attraction mechanism for processing substrate
JP4190422B2 (en) * 2002-01-24 2008-12-03 住友精密工業株式会社 Ozone treatment equipment
JP4251887B2 (en) * 2003-02-26 2009-04-08 東京エレクトロン株式会社 Vacuum processing equipment
JP4354243B2 (en) * 2003-04-21 2009-10-28 東京エレクトロン株式会社 Elevating mechanism and processing apparatus for workpiece
JP2006049299A (en) * 2004-07-02 2006-02-16 Sekisui Chem Co Ltd Surface treatment device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107527837A (en) * 2016-06-17 2017-12-29 东京毅力科创株式会社 The maintaining method of substrate board treatment, maintenance utensil and substrate board treatment

Also Published As

Publication number Publication date
KR20070098556A (en) 2007-10-05
JP4597894B2 (en) 2010-12-15
KR20080114647A (en) 2008-12-31
TW200805556A (en) 2008-01-16
CN101707186A (en) 2010-05-12
CN101707186B (en) 2012-02-29
TWI427733B (en) 2014-02-21
JP2007273685A (en) 2007-10-18
KR100887459B1 (en) 2009-03-10
CN101047143A (en) 2007-10-03
KR100952525B1 (en) 2010-04-12

Similar Documents

Publication Publication Date Title
CN100587938C (en) Basal plate carrying table and basal plate processing device
CN107546171B (en) Substrate lifting mechanism, substrate carrying table and substrate processing device
KR101088289B1 (en) Loading table, processing apparatus and processing system
KR100636487B1 (en) Apparatus for supporting a substrate and method for dechucking a substrate
TWI445119B (en) A substrate stage and a substrate processing device
JP5219377B2 (en) Substrate mounting table and substrate processing apparatus
TWI529842B (en) Substrate collection method
CN100477147C (en) Substrate table and substrate processing apparatus
JP2019176031A (en) Plasma processing apparatus and method for conveying object to be processed
US20050255244A1 (en) Lifting glass substrate without center lift pins
US9011634B2 (en) Plasma processing apparatus and plasma processing method
JP3261877B2 (en) Plasma equipment
KR20120069962A (en) A vacuum evaporation apparatus

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant