CN100449709C - 基板处理方法、清洗方法、电子设备的制造方法和程序 - Google Patents

基板处理方法、清洗方法、电子设备的制造方法和程序 Download PDF

Info

Publication number
CN100449709C
CN100449709C CNB2006100074782A CN200610007478A CN100449709C CN 100449709 C CN100449709 C CN 100449709C CN B2006100074782 A CNB2006100074782 A CN B2006100074782A CN 200610007478 A CN200610007478 A CN 200610007478A CN 100449709 C CN100449709 C CN 100449709C
Authority
CN
China
Prior art keywords
dielectric film
film
cmp
wafer
exposed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2006100074782A
Other languages
English (en)
Other versions
CN1822327A (zh
Inventor
西村荣一
岩﨑贤也
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1822327A publication Critical patent/CN1822327A/zh
Application granted granted Critical
Publication of CN100449709C publication Critical patent/CN100449709C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

本发明提供一种容易控制绝缘膜表面上的表面损伤层和切削残留等的除去量的电子设备的制造方法。形成低介电常数层间绝缘膜(115),以覆盖形成晶片(W)上的配线(114)的绝缘膜(113)(B);在该低介电常数层间绝缘膜(115)上加工形成通孔(118)(C);在低介电常数层间绝缘膜(115)上形成由铜制成的导电膜(121),同时,将铜填充至通孔(118)中(F);利用CMP研磨导电膜(121),露出低介电常数层间绝缘膜(115)(G);将表面上有切削残留(116)、反应生成物(117)、残渣和疑似SiO2层(124)的低介电常数层间绝缘膜(115)暴露在氨气和氟化氢气体的混合气体氛围中(H);再将由疑似SiO2层(124)改质的生成物层(123)加热至规定的温度(I)。

Description

基板处理方法、清洗方法、电子设备的制造方法和程序
技术领域
本发明涉及基板的处理方法,化学机械研磨后的清洗方法,电子设备的制造方法和程序;特别涉及在利用化学机械研磨研磨在表面形成的导电膜后,通过进行无等离子体蚀刻(plasma-less etching)处理,提高表面平坦性的电子设备的制造方法。
背景技术
在制造由硅晶片(以下简称“晶片”)构成的电子设备的电子设备的制造方法中,依次反复进行在晶片表面上成膜的绝缘膜上,形成期望的图案的光致抗蚀剂层的光刻工序;使用光致抗蚀剂层作为掩模,利用等离子体在栅电极上形成导电膜,或在绝缘膜上,形成配线槽或接触孔的蚀刻工序;在形成配线槽或接触孔的绝缘膜表面上形成导电膜的PVD(物理气相沉积Physical Vapor Deposition)等的成膜工序;和在除去成膜的导电膜而露出绝缘膜的同时,使该露出的绝缘膜表面平坦化的平坦化工序(蚀刻工序)。
近年来,在平坦化工序中,使用称为CMP(化学机械研磨ChemicalMechanical Polishing)的晶片的表面研磨方法代替先前使用的干蚀刻或热回流。如图11所示,CMP是利用头部(晶片保持部)202将晶片挤压在贴附着由聚氨酯等形成的研磨布200的旋转台201上,使晶片表面紧贴着研磨布200,从浆料供给喷嘴203向研磨布200供给以二氧化硅(SiO2)为主成分的研磨剂(浆料),在供给清洗液的同时,通过使旋转台201和头部202互相独立地旋转,研磨晶片表面的方法。认为在CMP中,利用研磨剂中的SiO2粒子和晶片表面的导电膜或绝缘膜的物理接触,及SiO2粒子和导电膜或绝缘膜的化学反应的增效效应,促进研磨(例如,参照专利文献1)。
此外,近年来,为了防止由于电子设备的配线规则(要求尺寸)的微细化而显露的层间绝缘膜的高的介电常数引起的信号传递速度的降低,使用相对介电常数低(Low-κ)的材料作为层间绝缘膜材料(参照表1)。特别是最近,因为大多采用铜作为配线材料,因此使用掺杂有碳的SiOC系的低介电常数材料作为低介电常数层间绝缘膜材料。此外,还有关于使用介电常数更低的多孔材料的研究。这里,将3.0以下的相对介电常数称为低介电常数。
表1
Figure C20061000747800071
然而,在利用CMP而露出绝缘膜的表面上产生由与绝缘膜下的配线图案的密度相关的绝缘膜的研磨特性的差异引起的配线上的绝缘膜的腐蚀(研磨引起的侵蚀)所产生的绝缘膜残渣(削屑)和SiO2粒子和绝缘膜的构成材料的反应生成物。
此外,由多孔材料层制成的层间绝缘膜,由于该膜中的多个空穴引起机械强度降低,导电膜的粘着性弱,当在CMP中,以通常的压力由头部202挤压晶片时会产生层间绝缘膜从导电膜的剥离或层间绝缘膜的崩解。与此对应,在使用多孔材料作为层间绝缘膜材料的情况下,必需在低压,例如大约1.0KPa以下的压力下,挤压晶片,但由于利用低压的CMP无法充分的研磨层间绝缘膜,因此在利用CMP研磨的层间绝缘膜的表面上会产生切削残留。
上述绝缘膜表面上的残渣,反应生成物和切削残留(以下简称为“切削残留等”)成为由晶片制造的电子设备的配线电阻或电子设备的电容器的层间电容异常的主要原因,因此必须除去。
此外,利用CMP研磨在低介电常数的绝缘膜上形成的导电膜时,由于露出的低介电常数层间绝缘膜的表面和在CMP中使用的浆料或清洗液的接触产生的低介电常数层间绝缘膜的吸湿,会化学损坏低介电常数层间绝缘膜,由此,在该低介电常数的层间绝缘膜的表面上,形成碳浓度降低的表面损伤层(损坏层)。
由于这种表面损伤层具有与SiO2(天然氧化物Native Oxide)相似的特性,在作为后续工序进行的热处理工序中,成为引起体积收缩、在绝缘膜中产生空穴(void)的主要原因,因此必须在进行后续工序前,预先除去该表面损伤层。
作为上述绝缘膜表面上的表面损伤层和切削残留等的除去工序,已知有利用由氢氧化季铵或极性有机胺等形成的CMP后(Post-CMP)清洗液清洗绝缘膜的表面的清洗工序。
然而,上述清洗工序相当于使用药液的湿蚀刻工序,由于在清洗工序中,清洗液容易溶解表面损伤层和切削残留等,存在难以控制表面损伤层和切削残留等的除去量的问题。当清洗液过量溶解表面损伤层和切削残留等时,配置在绝缘膜下的Cu配线露出,清洗液会腐蚀(corroded)该Cu配线。
【专利文献1】日本特开平9-251969号公报
发明内容
本发明的目的在于提供可以除去绝缘膜表面上的表面损伤层和切削残留等,同时容易控制表面损伤层和切削残留等的除去量的基板处理方法、化学机械研磨后的清洗方法,电子设备的制造方法及程序。
为了达到上述目的,本发明第一方面所述的基板处理方法,处理具有通过化学机械研磨而露出绝缘膜的基板,其中,具有:
在规定压力下将上述露出的绝缘膜暴露在含有氨和氟化氢的混合气体氛围中的绝缘膜暴露步骤;和
将暴露在上述混合气体氛围中的绝缘膜加热至规定温度的绝缘膜加热步骤。
本发明第二方面的基板处理方法,如本发明第一方面所述的基板处理方法,其特征在于,上述露出的绝缘膜为低介电常数的绝缘膜。
本发明第三方面的基板处理方法,如本发明第一或第二方面所述的基板处理方法,其特征在于,在上述绝缘膜暴露步骤中,在上述基板上实施无等离子体蚀刻处理。
本发明第四方面的基板处理方法,如本发明第一或第二方面所述的基板处理方法,其特征在于,在上述绝缘膜暴露步骤中,在上述基板上实施干燥清洗处理。
本发明第五方面的基板处理方法,如本发明第一到第四方面中任一项所述的基板处理方法,其特征在于,上述混合气体中,上述氟化氢相对于上述氨的体积流量比为1~1/2,上述规定压力为6.7×10-2~4.0Pa。
本发明第六方面的基板处理方法,如第一方面到第五方面中任一项所述的基板处理方法,其特征在于,上述规定的温度为80~200℃.
本发明第七方面的基板处理方法,如第一方面到第六方面中任一项所述的基板处理方法,其特征在于,还具有测定上述露出的绝缘膜的形状,根据该测定的形状,决定上述混合气体中上述氟化氢相对于上述氨的体积流量比和上述规定压力中至少一个的生成物生成条件决定步骤。
本发明第八方面的处理方法,如第一方面到第七方面中任一项所述的基板处理方法,其特征在于,上述露出的绝缘膜具有由上述化学机械研磨产生的切削残留。
本发明第九方面的基板处理方法,如第一方面到第七方面中任一项所述的基板处理方法,其特征在于,上述露出的绝缘膜具有由在上述化学机械研磨中使用的研磨剂引起的反应生成物。
本发明第十方面的基板处理方法,如第一方面到第七方面中任一项所述的基板处理方法,其特征在于:上述绝缘膜具有碳浓度降低的表面损伤层。
为了达到上述目的,本发明第十一方面的化学机械研磨后的清洗方法,在通过化学机械研磨研磨在基板表面成膜的绝缘膜上形成的导电膜后,对上述基板上实施,其中,具有:
在规定的压力下,将通过上述化学机械研磨而露出的上述绝缘膜暴露在包含氨和氟化氢的混合气体氛围中的绝缘膜暴露步骤;和
将暴露在上述混合气体氛围中的上述绝缘膜加热至规定的温度的绝缘膜加热步骤。
本发明第十二方面的化学机械研磨后的清洗方法,如第十一方面所述的化学机械研磨后的清洗方法,其特征在于,还具有在将上述露出的上述绝缘膜暴露在上述混合气体氛围中之前,对上述露出的绝缘膜的表面进行干燥的绝缘膜干燥步骤。
为了达到上述目的,本发明第十三方面的电子设备的制造方法,具有:
在半导体基板的表面成膜的第一绝缘膜上,形成由第一导电性材料构成的配线的配线形成步骤;
在上述第一绝缘膜上,形成覆盖上述配线的第二绝缘膜的第二绝缘膜形成步骤;
在上述成膜的第二绝缘膜上形成规定图案的光致抗蚀剂层的光致抗蚀剂层形成步骤;
利用该形成的光致抗蚀剂层,通过等离子体处理,在上述第二绝缘膜上,加工形成达到上述配线的连接孔的等离子体加工成形步骤;
除去上述光致抗蚀剂层的灰化步骤;
在上述第二绝缘膜上,形成由第二导电性材料构成的导电膜,将第二导电性材料填充在上述连接孔中的连接孔填充步骤;
利用化学机械研磨研磨上述成膜的导电膜的导电膜研磨步骤;
在规定的压力下,将通过上述化学机械研磨露出的上述第二绝缘膜,暴露在包含氨和氟化氢的混合气体氛围中的第二绝缘膜暴露步骤;和
将暴露在上述混合气体氛围中的上述第二绝缘膜加热至规定温度的第二绝缘膜加热步骤。
本发明第十四方面的电子设备的制造方法,如第十三方面所述的电子设备的制造方法,其中,具有:
在规定压力下将上述加工成形的连接孔的表面暴露在包含氨和氟化氢的混合气体氛围中的连接孔表面暴露步骤;和
将暴露在上述混合气体氛围中的连接孔的表面加热至规定温度的连接孔表面加热步骤。
本发明第十五方面的电子设备的制造方法,如第十四方面所述的电子设备的制造方法,其特征在于,还具有用导电性屏障覆盖上述加热至规定温度的连接孔的表面的连接孔覆盖步骤。
为了达到上述目的,本发明第十六方面的电子设备的制造方法,其中,具有:
在半导体基板的表面上形成的第一绝缘膜上,形成由第一导电性材料构成的配线的配线形成步骤;
在上述第一绝缘膜上,形成覆盖上述配线的第二绝缘膜的第二绝缘膜形成步骤;
在上述成膜的第二绝缘膜上形成规定图案的光致抗蚀剂层的光致抗蚀剂层形成步骤;
利用该形成的光致抗蚀剂层,通过等离子体处理,在上述第二绝缘膜上,加工形成达到上述配线的连接孔的等离子体加工成形步骤;
在上述第二绝缘膜上,形成由第二导电性材料构成的导电膜,将第二导电性材料填充在上述连接孔中的连接孔填充步骤;
利用化学机械研磨研磨上述光致抗蚀层和上述成膜的导电膜的导电膜研磨步骤;
在规定的压力下,将通过上述化学机械研磨而露出的上述第二绝缘膜,暴露在包含氨和氟化氢的混合气体氛围中的第二绝缘膜暴露步骤;和
将暴露在上述混合气体氛围中的上述第二绝缘膜加热至规定温度的第二个绝缘膜加热步骤。
为了达到上述目的,本发明第十七方面的程序,在计算机中运行具有通过化学机械研磨露出的绝缘膜的基板的处理方法,其中,具有:
在规定压力下,将上述露出的绝缘膜暴露在包含氨和氟化氢的混合气体氛围中的绝缘膜暴露模块;和
将暴露在上述混合气体氛围中的绝缘膜加热至规定温度的绝缘膜加热模块。
为了达到上述目的,本发明第十八方面的程序,可在计算机中运行利用化学机械研磨研磨在基板表面上成膜的绝缘膜上形成的导电膜后,对上述基板实施化学机械研磨后的清洗方法,其中,具有:
在规定压力下,将利用上述化学机械研磨露出的上述绝缘膜暴露在包含氨和氟化氢的混合气体氛围中的绝缘膜暴露模块;和
将暴露在上述混合气体氛围中的上述绝缘膜加热至规定温度的绝缘膜加热模块。
为了达到上述目的,本发明第十九方面的程序,可在计算机中运行电子设备的制造方法,其中,具有:
在半导体基板的表面上成膜的第一绝缘膜上,形成由第一导电性材料构成的配线的配线形成模块;
在上述第一绝缘膜上,形成覆盖上述配线的第二绝缘膜的第二绝缘膜成膜模块;
在上述成膜的第二绝缘膜上,形成规定图案的光致抗蚀剂层的光致抗蚀剂层形成模块;
利用形成的该光致抗蚀剂层,通过等离子体处理,在上述第二绝缘膜上,加工成形达到上述配线的连接孔的等离子体加工成形模块;
除去上述光致抗蚀剂层的灰化模块;
在上述第二绝缘膜上,形成由第二导电性材料构成的导电膜,将上述第二导电性材料填充在上述连接孔中的连接孔填充模块;
利用化学机械研磨研磨上述成膜的导电膜的导电膜研磨模块;
在规定压力下,将通过上述化学机械研磨露出的上述第二绝缘膜,暴露在包含氨和氟化氢的混合气体氛围中的第二绝缘膜暴露模块;和
将暴露在上述混合气体氛围中的上述第二绝缘膜加热至规定温度的第二绝缘膜加热模块。
为了达到上述目的,本发明第二十方面的程序,在计算机中运行电子设备的制造方法,其中,具有:
在半导体基板的表面上成膜的第一绝缘膜上,形成由第一导电性材料构成的配线的配线形成模块;
在上述第一绝缘膜上,形成覆盖上述配线的第二绝缘膜的第二绝缘膜成膜模块;
在上述成膜的第二绝缘膜上,形成规定图案的光致抗蚀剂层的光致抗蚀剂层形成模块;
利用该形成的光致抗蚀剂层,通过等离子体处理,在上述第二绝缘膜上,加工形成达到上述配线的连接孔的等离子体加工成形模块;
在上述第二绝缘膜上,形成由第二导电性材料构成的导电膜,将第二导电性材料填充在上述连接孔中的连接孔填充模块;
利用化学机械研磨研磨上述光致抗蚀层和上述成膜的导电膜的导电膜研磨模块;
在规定的压力下,将通过上述化学机械研磨露出的上述第二绝缘膜,暴露在包含氨和氟化氢的混合气体氛围中的第二绝缘膜暴露模块;和
将暴露在上述混合气体氛围中的上述第二绝缘膜加热至规定温度的第二绝缘膜加热模块。
根据本发明第一方面所述的基板的处理方法和第十七方面所述的程序,在规定压力下,露出的绝缘膜暴露在包含氨和氟化氢的混合气体的氛围中,将暴露在该混合气体氛围中的绝缘膜加热至规定的温度。当在规定压力下将露出的绝缘膜暴露在包含氨和氟化氢的混合气体氛围中时,生成基于露出的绝缘膜和混合气体的生成物,当将暴露在上述混合气体氛围中的绝缘膜加热至规定温度时,上述生成的生成物被加热气化。通过该生成物的气化,可以除去由化学机械研磨产生的绝缘膜表面上的表面损伤层和切削残留等。此时,生成物的生成量可用通过混合气体的参数控制。因此,容易进行绝缘膜的表面上的表面损伤层和切削残留等除去量的控制。
根据本发明第三方面所述的基板处理方法,通过在基板上进行无等离子体蚀刻处理,在由基板制造的电子设备中,由于在栅电极上没有电荷积蓄,因此可以防止栅氧化膜的劣化或破坏。同时没有能量粒子照射在电子设备(元件)上,因此可以防止半导体中产生打入损坏(结晶缺陷)。此外,不会引起起因于等离子体的非预期化学反应,因此可防止产生杂质,由此,可以防止在基板上进行处理时处理室被污染。
根据本发明第四方面所述的基板处理方法,由于在基板进行干燥清洗处理,不但可防止产生表面粗糙(roughness),而且可以抑制基板表面的物性的变化,还可以可靠的防止配线可靠性的降低。
根据本发明第五方面所述的基板处理方法,在混合气体中,氟化氢相对于氨的体积流量比为1~1/2,上述规定压力为6.7×10-2~4.0Pa,因此可以助长生成物的生成,还可以可靠地除去绝缘膜表面上的表面损伤层和切削残留等。
根据本发明第六方面所述的基板的处理方法,规定温度为80~200℃,因此可以促进生成物的气化,还可以可靠的除去绝缘膜表面上的表面损伤层和切削残留。
根据本发明第七方面所述的基板的表面处理方法,测定露出的绝缘膜的形状,根据该测定的形状,决定混合气体中氟化氢相对于氨的体积流量比和上述规定压力中的至少一个,因此可以正确地控制绝缘膜表面上的表面损伤层和切削残留等的除去量,还可以提高基板的表面处理的效率。再者,当通过除去绝缘膜,消除由化学机械研磨产生的局部腐蚀时,可以正确地控制绝缘膜的除去量,还可以正确进行再平坦化。
根据本发明第十一方面所述的化学机械研磨后的清洗方法和第十八方面所述的程序,可在规定的压力下,将通过化学机械研磨露出的绝缘膜暴露在包含氨和氟化氢的混合气体氛围中,将暴露在该混合气体氛围中的绝缘膜加热至规定温度。当在规定压力下,将露出的绝缘膜暴露在包含氨和氟化氢的混合气体氛围中时,生成基于露出的绝缘膜和混合气体的生成物,当将暴露在上述混合气体氛围中的绝缘膜加热至规定温度时,上述生成的生成物被加热气化。通过该生成物的气化,可以除去由化学机械研磨产生的绝缘膜表面上的表面损伤层和切削残留等。此时,生成物的生成量可用混合气体的参数控制。因此,容易进行绝缘膜表面上的表面损伤层和切削残留等除去量的控制。
根据本发明第十二方面所述的化学机械研磨后清洗方法,在露出的绝缘膜暴露在上述混合气体之前,对露出的绝缘膜表面进行干燥。在干燥环境下,可促进上述生成物的生成。因此,可以促进除去绝缘膜的表面上的表面损伤层和切削残留等。
根据本发明第十三方面所述电子设备的制造方法和第十九方面所述的程序,在规定的压力下,通过化学机械研磨露出的第二绝缘膜暴露在包含氨和氟化氢的混合气体氛围中,将暴露在该混合气体氛围中的第二绝缘膜加热至规定温度。当在规定的压力下,将露出的第二绝缘膜暴露在包含氨和氟化氢的混合气体氛围中时,生成基于露出的第二绝缘膜和混合气体的生成物,当将暴露在上述混合气体氛围中的第二绝缘膜加热至规定温度时,上述生成的生成物被加热气化。通过该生成物的气化,可以除去由化学机械研磨产生的第二绝缘膜表面上的表面损伤层和切削残留等。此时,生成物的生成量可用混合气体的参数控制。因此,容易进行第二绝缘膜表面上的表面损伤层和切削残留等除去量的控制。
根据本发明第十四方面所述的电子设备的制造方法,在规定压力下,将在第二绝缘膜中加工形成的连接孔的表面暴露在包含氨和氟化氢的混合气体氛围中,通过连接孔表面生成物的生成和由该生成物的加热造成的气化,可除去因等离子体处理引起产生的连接孔的表面损伤层,可以防止产生由该表面损伤层引起的配线延迟。
根据本发明第十五方面所述的电子设备的制造方法,加热至规定温度的连接孔的表面被导电性屏障覆盖,因此可防止已除去表面损伤层的连接孔的表面和填充该连接孔的第二导电性材料接触,由此,可防止第二导电性材料向第二绝缘膜扩散。
根据本发明第十六方面所述的电子设备的制造方法和第二十方面所述的程序,在规定的压力下,通过化学机械研磨露出的第二绝缘膜,暴露在包含氨和氟化氢的混合气体氛围中,将暴露在该混合气体氛围中的第二绝缘膜加热至规定温度。当在规定的压力下,将露出的第二绝缘膜暴露在包含氨和氟化氢的混合气体氛围中时,生成基于露出的第二绝缘膜和混合气体的生成物,当将暴露在上述混合气体氛围中的第二绝缘膜加热至规定温度时,上述生成的生成物被加热气化。通过该生成物的气化,可以除去由化学机械研磨产生的第二绝缘膜的表面上的表面损伤层和切削残留等。此时,生成物的生成量可用混合气体的参数控制。因此,容易进行第二绝缘膜的表面上的表面损伤层和切削残留等的除去量的控制。此外,由于同时通过化学机械研磨研磨导电膜和光致抗蚀剂层,因此可提高产量。
附图说明
图1为表示本发明的实施方式的基板处理方法适用的基板处理装置的概略结构俯视图。
图2为图1的第二处理单元的截面图,图2(A)为沿图1的II-II线的截面图,图2(B)为图2(A)中A部的放大图。
图3为表示图1的第二处理舱的概略结构的立体图。
图4为表示图3的第二负载锁定单元的单元驱动用干燥空气供给***的概略结构图。
图5为表示图1的基板处理装置的***控制器的概略结构的图。
图6为表示本实施方式的基板处理方法的工序图。
图7为表示本发明的实施方式的化学机械研磨后清洗方法的工序图。
图8为表示本明的实施方式的电子设备制造方法的工序图。
图9为表示本实施方式的基板的处理方法适用的基板处理装置的第一变形例的概略结构的俯视图。
图10为表示使用本实施方式的基板的处理方法的基板处理装置的第二变形例的概略结构的俯视图。
图11为表示在晶片上进行CMP的研磨装置的概略结构图。
符号说明
W晶片;10,137,160基板处理装置;11第一处理舱;12第二处理舱;13装载单元;17第一IMS;18第二IMS;25第一处理单元;34第二处理单元;36第三处理单元;37第二传送臂;38,50,70腔室;39ESC;40喷淋头;41TMP;42,69APC阀;45第一缓冲室;46第二缓冲室;47,48气体通气孔;49第二负载锁定室;51载置台加热器;57氨气供给管;58氟化氢气体供给管;59,66,72压力表;61第二处理单元的排气***;65,71氮气供给管;67第三处理单元的排气***;73第二负载锁定单元的排气***;74大气连通管;89EC;90,91,92MC;93交换式集线器;95GHOST网络;97,98,99I/O模块;100I/O部;101,110,116切削残留;102,111,117反应生成物;103,124疑似SiO2层;104,104a,106,113绝缘膜;105,112,123生成物层;107配线槽;108导电膜;109,114配线;115低介电常数的层间绝缘膜;118通孔;119损坏层;120导电性屏蔽膜;121导电膜;122通孔填充体;138,163传送单元;139,140,141,142,161,162处理单元;170LAN;171PC
具体实施方式
以下参照附图说明本发明的实施方式。
首先,说明本发明的实施方式的基板的处理方法。
图1为表示本实施方式的基板的处理方法适用的基板处理装置的概略结构的俯视图。
在图1中,基板处理装置10具有在电子设备用的晶片(以下简称“晶片”)(基板)W上进行反应性离子蚀刻(以下称为“RIE”)处理的第一处理舱11;与该第一处理舱11平行配置,在晶片W上进行后述的COR(化学氧化物清除Chemical Oxide Removal)处理和PHT(后热处理Post Heat Treatment)的第二处理舱12;和作为分别与第一处理舱11和第二处理舱12连接的矩形状的共同搬运室装载单元13。
在装载单元13上,除了上述第一处理舱11和第二处理舱12以外,还连接有作为分别载置收容25块晶片W的容器的晶片传送盒(FrontOpening Unified Pod)14的三个晶片传送盒载置台15;预先调整从晶片传送盒14搬出的晶片W的位置的定向器16,和测量晶片W的表面状态的第一及第二IMS(集成计量***,Integrated Metrology SystemTherma-Wave,Inc.)17、18。
第一处理舱11及第二处理舱12与装载单元13的长度方向的侧壁连接,同时,以夹住装载单元13,与三个晶片传送盒载置台15相对的方式配置,定向器16配置在装载单元13的长度方向一端,第一IMS17配置在装载单元13的长度方向的另一端;第二IMS18与三个晶片传送盒载置台15并列配置。
装载单元13具有配置在内部的,搬送晶片W的SCARA型双臂式的传送臂机构19,和作为以与各晶片传送盒载置台15对应的方式配置在侧壁上的晶片W投入口的三个负载口20。传送臂机构19,经由负载口20,从载置在晶片传送盒载置台15上的晶片传送盒14中取出晶片W,将该取出的晶片W向第一处理舱11,第二处理舱12,定向器16,第一IMS17或第二IMS18搬出搬入。
第一IMS17为光学***的监视器,它具有载置搬入的晶片W的载置台21,和指向载置在该载置台21上的晶片W的光学传感器22,可以测定晶片W的表面形状(例如表面层的膜厚)、及配线槽或栅电极等的CD(临界尺寸Critical Dimension)值。第二IMS18也是光学***的监视器,与第一IMS17同样,具有载置台23和光学传感器24,测量晶片W表面的颗粒数。
第一处理舱11具有作为在晶片W上进行RIE处理的第一真空处理室的第一处理单元25;和内部装有在该第一处理单元25中转移晶片W的连杆型单拾取器式的第一传送臂26的第一负载锁定单元27。
该第一个处理单元25具有圆筒状的处理室容器(腔室),和配置在该腔室内的上部电极和下部电极。该上部电极和下部电极间的距离设定为用于在晶片W上进行RIE处理的适当的间隔。此外,在下部电极顶部具有利用库仑力等夹紧晶片W的ESC28。
在第一处理单元25中,将处理气体导入腔室内部,通过在上部电极和下部电极间产生电场,使导入的处理气体等离子体化,产生离子和自由基,利用该离子和自由基在晶片W上进行RIE处理。
在第一处理舱11中,装载单元13的内部压力维持在大气压,另一方面,第一处理单元25的内部压力维持真空。由此,第一负载锁定单元27在与第一处理单元25的连接部上具有真空闸阀29,同时,在与装载单元13的连接部上具有大气闸阀30,这样,可构成为调整其内部压力的真空预搬送室。
在第一负载锁定单元27的内部的大致中央部设置有第一传送臂26,在相对该第一传送臂26的第一处理单元25一侧设置有第一缓冲器31,在相对第一传送臂26的装载单元13一侧设置有第二缓冲器32。第一缓冲器31和第二缓冲器32配置在支承配置在第一传送臂26的前端部的晶片W的支承部(拾取器)33的移动轨道上,通过使已进行RIE处理的晶片W暂时地回避到支承部分33的轨道的上方,可以平稳的在第一处理单元25中调换未进行RIE处理的晶片W和已进行RIE处理的晶片W。
第二处理舱12具有在作为晶片W上进行COR处理的第二真空处理室的第二处理单元34;作为隔着真空闸阀35与该第二处理单元34连接的,在晶片W上进行PHT处理的第三真空处理室的第三处理单元36;和在内部装有在第二处理单元34和第三处理单元36中转移晶片W的连杆型单拾取器式的第二传送臂37的第二负载锁定单元49。
图2为图1中的第二处理单元的截面图。图2(A)为沿图1的II-II线的截面图,图2(B)为图2(A)中A部的放大图。
在图2(A)中,第二处理单元34具有:圆筒状的处理室容器(腔室)38;配置在该腔室38内的晶片W的载置台ESC39;配置在腔室38上方的喷淋头40;排出腔室38内的气体等的TMP(涡轮分子泵turbomolecular pump)41;和配置在腔室38和TMP41之间的,控制腔室38内的压力的可变式蝶阀APC(压力自动控制Automatic Pressure control)阀42。
ESC39具有在内部施加直流电压的电极板(未图示),利用直流电压产生的库仑力或Johnsen-Rahbek力,保持吸附晶片W。此外,ESC39具有冷却剂室(未图示)作为温度调节机构。将规定温度的冷却剂,例如冷却水或Galden fluid循环供给该冷却剂室,利用该冷却剂的温度控制吸附保持在ESC39的上表面的晶片W的处理温度。此外,ESC39具有均匀的将传热气体(氦气)供给ESC39的上表面和晶片W的背面之间的传热气体供给***(未图示)。当COR处理时,传热气体进行由冷冻剂维持在期望的指定温度的ESC39和晶片W的热交换,可以高效并均匀地冷却晶片W。
此外,ESC39具有作为从其上面自由突出的提升销的多个推杆销56。当晶片W被吸着保持在ESC39内时,这些推杆销56容纳在ESC39中,当从腔室38搬出已进行COR处理的晶片W时,它从ESC39的上表面突出,将晶片W转移到上方。
喷淋头40具有两层结构,在下层部43和上层部44中,分别具有第一缓冲室45和第二缓冲室46。第一缓冲室45和第二缓冲室46分别通过气体通气孔47,48与腔室38内连通。即,喷淋头40由具有通向分别向第一缓冲室45和第二缓冲室46供给气体的腔室38内的内部通路,由呈现层状层叠的两个板状体(下层部43,上层部44)构成。
当在晶片W上进行COR处理时,从后述的氨气供给管57,将NH3(氨)气供给第一缓冲室45,该供给的氨气通过气体通气孔47供给至腔室38内,同时,从后述的氟化氢气体供给管58,将HF(氟化氢)气体供给第二缓冲室46。该供给的氟化氢气体通过气体通气孔48,供给至腔室38内。
此外,喷淋头40内部装有加热器(未图示),例如加热元件。优选该加热元件配置在上层部44上,控制第二缓冲室46内的氟化氢气体的温度。
此外,如图2(B)所示,气体通气孔47、48的通向腔室38内的开口作成扩口状。由此,可使氨气或氟化氢气体高效率地向腔室38内扩散。再者,由于气体通气孔47,48的截面呈缩颈形状,因此可以防止腔室38中产生的堆积物向气体通气孔47、48,进而向第一缓冲室45和第二缓冲室46回流。其中,气体通气孔47、48也可以为螺旋状的通气孔。
该第二处理单元34通过调整腔室38内的压力和氨气及氟化氢气体的体积流量比,在晶片W上进行COR处理。此外,由于该第二处理单元34以在腔室38内最先使氨气和氟化氢气体混合(后混合设计)的方式设计,因此,在将上述两种气体导入腔室38内前,要防止该两种气体的混合,防止氟化氢气体和氨气在导入腔室38内前反应。
此外,第二处理单元34中,腔室38的侧壁内装有加热器(未图示),例如加热元件,以防止腔室38内的氛围温度降低,由此,可以提高COR处理的再现性。此外,利用侧壁内的加热元件控制侧壁的温度,可以防止在腔室38内产生的副产物附着在侧壁的内侧上。
回到图1,可看出第三处理单元36具有:框体状的处理室容器(腔室)50;作为配置在该腔室50内的晶片W的载置台的载置台加热器51;配置在该载置台加热器51的周围,将载置在载置台加热器51上的晶片W移向上方的缓冲器臂52;和遮断腔室内和外部氛围的自由开闭的盖PHT腔室盖(未图示)。
载置台加热器51由表面上形成有氧化薄膜的铝制成,利用装在内部的电热线等将载置的晶片W加热至规定的温度。具体地,载置台加热器51,至少经过1分钟将载置的晶片W直接加热至100~200℃,优选为约135℃.
在PHT腔室盖上配备有硅橡胶制的片式加热器。此外,在腔室50的侧壁上还在内部装有筒式加热器(未图示)。该筒式加热器将腔室50的侧壁的壁面温度控制在25~80℃。由此,可防止副产物附着在腔室50的侧壁上,可防止附着的副产物引起产生的颗粒,可延长腔室50的清洁周期。其中,腔室50的外周用隔热罩覆盖。
作为从上方加热晶片W的加热器,也可以配置紫外线辐射(UVradiation)加热器代替上述的片式加热器。作为紫外线辐射加热器有辐射波长为190~400nm的紫外线的紫外线灯等。
通过缓冲器臂52将已进行COR处理的晶片W暂时回避在第二传送臂37的支承部53的轨道的上方,由此,可以平稳地在第二处理单元34和第三处理单元36中调换晶片W。
该第三处理单元36通过调整晶片W的温度,在晶片W上进行PHT处理。
第二负载锁定单元49具有内部装有第二传送臂37的框体状的搬送室(腔室)70。此外,装载单元13的内部压力维持在大气压,另一方面,第二处理单元34和第三处理单元36的内部压力维持在真空。由此,第二负载锁定单元49在与第三处理单元36的连接部上具有真空闸阀54,同时,在与装载单元13的连接部上具有大气门阀55,由此,其可以构成为可调整其内部压力的真空预备搬送室。
图3表示图1中的第二处理舱的概略结构立体图。
在图3中,第二处理单元34具有将氨气供给第一缓冲室45的氨气供给管57;将氟化氢气体供给第二缓冲室46的氟化氢气体供给管58;测定腔室38内的压力的压力表59;和将冷却剂供给到配置在ESC39内的冷却***的冷却单元60。
在氨气供给管57中设有MFC(质量流量控制器Mass FlowController)(未图示)。该MFC调整供给到第一缓冲室45的氨气的流量。同时,氟化氢气体供给管58中也设有MFC(未图示),该MFC调整供给到第二缓冲室46的氟化氢气体的流量。氨气供给管57的MFC和氟化氢气体供给管58的MFC协同工作,可调整供给到腔室38的氨气和氟化氢气体的体积流量比。
此外,在第二处理单元34的下方配置有与DP(干燥泵)(未图示)连接的第二处理单元的排气***61。第二处理单元的排气***61具有:与配置在腔室38和APC阀42之间的排气导管62连通的排气管63;和与TMP41的下方(排气侧)连接的排气管64,对腔室38内的气体等排气。其中,在DP前面,排气管64与排气管63连接。
第三处理单元36具有:将氮气(N2)供给腔室50的氮气供给管65;测定腔室50内压力的压力表66;和排出腔室50内的氮气等的第三处理单元的排气***67。
在氮气供给管65中设有MFC(未图示),该MFC调整供给腔室50的氮气的流量。第三处理单元的排气***67具有:与腔室50连通的同时,与DP连接的主排气管68;配置在该主排气管68中间的APC阀69;和从主排气管68分支,回避APC阀69,并且在DP前与主排气管68连接的副排气管68a。APC阀69控制腔室50内的压力。
第二负载锁定单元49具有:将氮气供给腔室70的氮气供给管71;测定腔室70内的压力的压力表72;排出腔室70内的氮气等的第二负载锁定单元的排气***73;使腔室70内部向大气开放的大气连通管74。
在氮气供给管71中设有MFC(未图示),该MFC调整供给到腔室70的氮气流量。第二负载锁定单元的排气***73由一根排气管构成,该排气管与腔室70连通,同时,在DP前与第三处理单元的排气***67的主排气管68连接。此外,第二负载锁定单元的排气***73和大气连通管74分别具有可自由开闭的排气阀75和溢流阀76。该排气阀75和溢流阀76协同工作,将腔室70内的压力调整到大气压至期望真空度中的任意值。
图4为表示图3的第二负载锁定单元的单元驱动用干燥空气供给***的概略结构图。
在图4中,作为第二负载锁定单元49的单元驱动用干燥空气供给***77的干燥空气,供给到大气门阀55具有的滑动门驱动用门阀缸,作为氮气清洗单元的氮气供给管71具有的MFC,作为大气开放用的溢流单元的大气连通管74具有的溢流阀76,作为抽真空单元的第二负载锁定单元的排气***73具有的排气阀75和真空闸阀54具有的滑动门驱动用的闸阀缸。
单元用干燥空气供给***77具有:从第二处理舱12具有的主干燥空气供给室78分支出的副干燥空气供给管79;和与该副干燥空气供给管79连接的第一电磁阀80和第二电磁阀81。
第一电磁阀80分别通过干燥空气供给管82、83、84、85与门阀缸、MFC、溢流阀76和闸阀缸连接,通过控制通向这些的干燥空气的供给量,控制各部分的动作。此外,第二电磁阀81通过干燥空气供给管86与排气阀75连接,通过控制通向排气阀75的干燥空气的供给量,控制排气阀75的动作。
其中,氮气供给管71的MFC与氮气供给***87连接。
此外,第二处理单元34和第三处理单元36也具有与上述第二负载锁定单元49的单元驱动用干燥空气供给***77同样的结构的单元驱动用干燥空气供给***。
回到图1,基板处理装置10具有控制第一处理舱11,第二处理舱12和装载单元13的动作的***控制器;和配置在装载单元13的长度方向一端的操作控制器88。
操作控制器88具有例如由LCD(液晶显示器Liquid CrystalDisplay)构成的显示部,该显示部显示基板处理装置10的各个构成元件的动作状况。
此外,如图5,***控制器具有EC(设备控制器EquipmentController)89;三个MC(模块控制器Module Controller)90、91、92;和连接EC89与各个MC的交换式集线器(switching hub)93。该***控制器通过LAN(局域网络Local Area Network)170,从EC89与作为管理设置有基板处理装置10的整个工场的制造工序的MES(制作执行***Manufacturing Execution System)的PC171连接。MES与***控制器相连,将有关工场工序的实时数据反馈至基础业务***(未图示),同时,考虑整个工场的负荷,进行工序的判断。
EC89为综合各个MC,控制基板处理装置10整体动作的主控制部(master controller)。此外,EC89具有CPU,RAM,HDD等,CPU依照对应于操作控制器88中的用户指令指定的晶片W的处理方法、即方案的程序,将控制信号输送至各MC,由此控制第一个处理舱11,第二个处理舱12和装载单元13的动作。
交换式集线器93根据从EC89发出的控制信号,切换作为EC89连接的目的地的MC。
MC90、91、92为分别控制第一处理舱11、第二处理舱12和装载单元13的动作的副控制部(从动控制部)。各个MC通过DIST(Distribution)交换器96,隔着GHOST网络95,分别与各个I/O(输入输出)模块97、98、99连接。GHOST网络95由LSI实现,该LSI是在MC具有的MC交换器上搭载的GHOST(General High-SpeedOptimum Scalable Transceiver),在GHOST网络95中,最大可连接31个I/O模块,在GHOST网络95中,MC是主装置,I/O模块是从动装置。
I/O模块98由与第二处理舱12的各个构成元件(以下称为“终端装置”)连接的多个I/O部100组成,进行通向各个终端装置的控制信号和从各个终端装置发出的信号的传递。I/O模块98中与I/O部100连接的终端装置为,例如:第二处理单元34中的氨气供给管57的MFC,氟化氢气体供给管58的MFC,压力表59和APC阀42,第三处理单元36的氮气供给管65的MFC,压力表66,APC阀69,缓冲器臂52和载置台加热器51,第二负载锁定单元49的氮气供给管71的MFC,压力表72和第二传送臂37,和单元驱动用干燥空气供给***77的第一电磁阀80和第二电磁阀81等。
I/O模块97、99具有与I/O模块98同样的结构,与第一处理舱11对应的MC90和I/O模块97的连接关系,以及与装载单元13对应的MC92和I/O模块99的连接关系与上述MC91和I/O模块98的连接关系为同样的结构,因此省略其说明。
此外,控制I/O部100中的控制数字信号、模拟信号和串行信号的输入输出的I/O交换器(未图示)与各个GHOST网络95连接。
在基板处理装置10中,当在晶片W上进行COR处理时,EC89的CPU根据与COR处理的方案对应的程序,通过交换式集线器93、MC91、GHOST网络95和I/O模块98中的I/O部100,将控制信号送到期望的终端装置,由此在第二处理单元34中进行COR处理。
具体地,CPU通过将控制信号送至氨气供给管57的MFC和氟化氢气体供给管58的MFC,将腔室38的氨气和氟化氢气体的体积流量比调整至期望的值;通过将控制信号输送至TMP41和APC阀42,将腔室38内的压力调整至期望的值。此时,压力表59将腔室38内的压力值作为输出信号送至EC89的CPU,根据输出的腔室38内的压力值,该CPU决定氨气供给管57的MFC、氟化氢气体供给管58的MFC、APC阀42或TMP41的控制参数。
此外,当在晶片W上进行PHT处理时,EC89的CPU还根据与PHT处理的方案对应的程序,将控制信号输送到期望的终端装置,由此,在第三处理单元36中进行PHT处理。
具体地,CPU通过将控制信号输送至氮气供给管65的MFC和APC阀69,将腔室50内的压力调整至期望值;通过将控制信号输送到载置台加热器51,将晶片W的温度调整至期望的值。此时,压力表66还将腔室50内的压力值作为输出信号,输送到EC89的CPU,该CPU根据输送的腔室50内的压力值,决定APC阀69和氮气供给管65的MFC的控制参数。
在图5的***控制器中,多个终端装置不直接与EC89连接,该多个终端装置连接的I/O部100模块化,构成I/O模块,该I/O模块通过MC和交换式集线器93与EC89连接,因此可使通信***简单化。
此外,EC89的CPU输出的控制信号包含与期望的终端装置连接的I/O部100的地址和含有该I/O部100的I/O模块的地址,因此通过交换式集线器93参照控制信号中的I/O模块的地址,MC的GHOST参照控制信号的I/O部100的地址,交换式集线器93或MC不需要询问CPU中控制信号送达的目的地,可以实现控制信号的平稳传递。
如前所述,在露出的绝缘膜的表面,由于CMP产生切削残留等,特别是,在使用含有碳的低介电常数层间绝缘膜作为绝缘膜的情况下,在该低介电常数层间绝缘膜的表面形成具有与SiO2相似的特性的表面损伤层(以下称为“疑似SiO2层”)。为了使低介电常数的层间绝缘膜不被CMP压坏,该膜必须具有规定的机械强度,具体来说,必须具有4GPa以上的杨氏模量(young’s modulus)。由于这些绝缘膜表面上的疑似SiO2层和切削残留等为引起由晶片W制造的电子设备中各种问题的主要原因,因此必须除去,该疑似SiO2层也称为“改质层”或“牺牲层”。
本实施方式的基板处理方法,与此对应,在具有由CMP产生表面的切削残留等或形成疑似SiO2层的绝缘膜的晶片W上进行COR处理和PHT处理。
COR处理为使被处理体的氧化膜和气体分子起化学反应,生成生成物的处理,PHT处理为加热已进行COR处理的被处理体,使由COR处理的化学反应在被处理体上生成的生成物气化、热氧化(ThermalOxidation),从被处理体上除去的处理。如上所述,由于COR处理和PHT处理,特别是COR处理不使用等离子体,且不使用水成分除去被处理体的氧化膜,因此,与无等离子体蚀刻处理和干燥清洁处理相当。
在本实施方式的基板的处理方法中,使用氨气和氟化氢气体作为气体。这里,氟化氢气体可促进SiO2层或疑似SiO2层的腐蚀,氨气根据需要限制氧化膜和氟化氢气体的反应,合成使反应最终停止的反应副产物(By-product)。具体地,在COR处理和PHT处理中,通过利用以下的化学反应,除去由SiO2构成的绝缘膜的上层,除去绝缘膜表面上的切削残留或除去在低介电常数层间绝缘膜表面上形成的疑似SiO2层。
(COR处理)
SiO2+4HF→SiF4+2H2O↑
SiF4+2NH3+2HF→(NH4)2SiF6
(PHT处理)
(NH4)2SiF6→SiF4↑+2NH3+2HF↑
本发明者确认,利用上述化学反应的COR处理和PHT处理,具有以下的特性。此外,在PHT处理中产生若干的N2和H2
1)热氧化膜的选择比(除去速度)高。
具体地,COR处理和PHT处理的热氧化膜的选择比高,另一方面硅的选择比低。因此可以高效率的除去由作为热氧化膜的SiO2膜构成的绝缘膜的上层或具有同样特征的疑似SiO2层。
2)除去上层或疑似SiO2层的绝缘膜表面的自然氧化膜的成长速度慢。
具体地,通过湿蚀刻除去上层的绝缘膜的表面,厚度为
Figure C20061000747800271
的自然氧化膜的成长时间为10分钟,利用COR处理和PHT处理除去上层的绝缘膜的表面,厚度为
Figure C20061000747800272
的自然氧化膜的成长时间为2小时以上。因此,电子设备的制造工序中,不会产生不需要的氧化膜,可以提高电子设备的可靠性。
3)在干燥的环境中进行反应。
具体地,在COR处理中,反应中不使用水,此外,由于COR处理产生的水也被PHT处理气化,因此,除去上层的绝缘膜的表面上没有OH基。因此,由于绝缘膜表面不会变为亲水性的,而且该表面不吸湿,因此可以防止电子设备配线可靠性的降低。
4)经过规定的时间,生成物的生成量饱和。
具体地,当经过规定的时间时,即使之后继续将绝缘层暴露在氨气和氟化氢气体的混合气体中,生成物的生成量不会增加。此外,生成物的生成量由混合气体的压力、体积流量比等混合气体的参数决定。因此,容易进行绝缘膜的除去量的控制。
5)颗粒的产生非常少。
具体地,在第二处理单元34和第三处理单元36中,即使进行2000块晶片W的绝缘膜上层的除去,在腔室38或腔室50的内壁等上几乎观测不到有颗粒附着。因此,在电子设备中,不会产生颗粒引起的配线短路等,可以提高电子设备的可靠性。
图6为表示本实施方式的基板处理方法的工序图。
在图6中,首先将晶片W容纳在第二处理单元34的腔室38中,该晶片W具有在表面上具有由CMP产生的切削残留101(图6(A)),反应生成物102(图6(B))或残渣(未图示)、由SiO2形成的绝缘膜104;或在表面形成有疑似SiO2层103、由SiOCH构成的绝缘膜104a(图6(C)),将该腔室38内的压力调整至规定的压力,将氨气,氟化氢气体和作为稀释气体的氩(Ar)气导入腔室38内,使腔室38内形成由这些气体构成的混合气体氛围,在规定的压力下,将绝缘膜104、104a暴露在混合气体的氛围中(绝缘膜暴露步骤)(图6(A),(B),(C))。由此,绝缘膜104的SiO2或疑似SiO2层103、氨气和氟化氢气体生成具有络合结构的生成物,由绝缘膜104的上层或疑似SiO2层103改质为由生成物构成的生成物层105。
其次,将形成有生成物层105的晶片W载置在第三处理单元36的腔室50内的载置台加热器51上,将该腔室50内的压力调整至规定的压力,将氮气导入腔室50内,产生粘性流,利用载置台加热器51将晶片W加热至规定的温度(绝缘膜加热步骤)。此时,生成物层105的络合结构被热分解,生成物105分离气化为四氟化硅(SiF4)、氨、氮、氟化氢。气化的这些分子卷入粘性流中,由第三处理单元的排气***67从腔室50排出。由此,可除去绝缘膜104的上层,除去绝缘膜104的表面上的切削残留101、反应生成物102和残渣,或除去疑似SiO2层103(图6(D))。
在第二处理单元34中,由于氟化氢气体容易与水分反应,优选将腔室38中的氨气的体积设定得比氟化氢气体的体积多,此外优选尽可能除去腔室38的水分子。具体地,优选腔室38内的混合气体中,氟化氢气体相对于氨气的体积流量比(SCCM)为1~1/2,此外,优选腔室38内的规定压力为6.7×10-2~4.0Pa(0.5~30mTorr)。由此,腔室38内的混合气体的流量比稳定,可以助长生成物的生成。
此外,当腔室38内的规定压力为6.7×10-2~4.0Pa(0.5~30mTorr)时,经过规定时间后可以可靠地使生成物的生成量饱和,由此,可以可靠地控制蚀刻深度(自行限制)。例如,在腔室38内的规定压力为1.3Pa(10mTorr)的情况下,蚀刻的进行在COR处理开始约经过3分钟后停止。这时,蚀刻深度大约15nm。此外,在腔室38内的规定压力为2.7Pa(20mTorr)的情况下,蚀刻的进行在COR处理开始约经过3分钟后停止。这时,蚀刻深度大约24nm。
此外,由于在常温附近可促进反应物的反应,因此优选利用装在内部的调温机构(未图示),将载置晶片W的ESC39的温度设定在25℃。再者,由于温度越高,产生的副产物越难以附着在腔室38内,因此优选利用埋设在侧壁中的加热器(未图示),将腔室38内的内壁温度设定在50℃。
在第三处理单元36中,反应物为包含配位键的络合物(Complexcompound)。由于络合物的结合力弱,即使在比较低的温度下,也可促进热分解,因此晶片W的规定温度优选为80~200℃。再者,在晶片W上进行PHT处理的时间优选为60~180秒。此外,由于腔室50中产生粘性流,不优选提高腔室50内的真空度,还必须有一定流量的气体流。因此,该腔50的规定压力优选为6.7×10~1.3×102Pa(500mTorr~1Torr),氮气的流量优选为500~3000SCCM。由此,在腔室50内可以可靠地生成粘性流,可以可靠地除去由生成物的热分解产生的气体分子。
此外,在晶片W上进行COR处理前,优选测定绝缘膜104、104a的表面形状例如膜厚,或配线槽或栅电极等的形状的CD值。EC89的CPU对应于测定的表面形状,基于绝缘膜的表面形状和与绝缘膜的上层的除去量或疑似SiO2层的除去量相关的处理条件参数的规定关系,决定COR处理或PHT处理的处理条件参数的值(生成物生成条件决定步骤)。由此,可正确地控制绝缘膜104的上层的除去量,进而控制绝缘膜104的表面上切削残留101、反应生成物102和残渣的除去量或者控制疑似SiO2层103的除去量,还可提高基板的表面处理的效率。此外,通过除去绝缘膜104,可以在消除由CMP产生的局部绝缘膜104的腐蚀时,正确地控制绝缘膜104的除去量,还可以正确地进行绝缘膜104的再平坦化。
在处理一批多个晶片W的初期,上述规定的关系可根据由第一IMS17测定的COR处理和PHT处理实施前和实施后的绝缘膜104、104a的表面形状的差,即由COR处理和PHT处理形成的绝缘膜104的上层的除去量或疑似SiO2层103的除去量与此时的COR处理和PHT处理的处理条件参数设定,作为处理条件参数,例如有氟化氢气体相对于氨气的体积流量比、腔室38内的规定压力、载置在载置台加热器51上的晶片W的加热温度等。将由此设定的规定关系存储在EC89的HDD等中,在批量初期以后的晶片W的处理中,可如上进行参照。
此外,基于晶片W的COR处理和PHT处理实施前和实施后的绝缘膜104、104a的表面形状的差,决定是否在该晶片W上再次进行COR处理和PHT处理。在再次进行COR处理和PHT处理的情况下,EC89的CPU,对应于进行该晶片W的COR处理和PHT处理后的绝缘膜104、104a的表面形状,基于上述规定的关系,决定COR处理和PHT处理的条件参数。这样,可正确地控制绝缘膜104、104a的除去量,还可正确地进行绝缘膜104、104a的再平坦化。
根据本实施方式的基板处理方法,在规定压力下,将具有在表面上具有切削残留101、反应生成物102和残渣的绝缘膜104,或在表面形成有疑似SiO2层103的绝缘膜104a的晶片W,暴露在由氨气、氟化氢气体和氩气组成的混合气体氛围中,将暴露在该混合气体氛围中的晶片W加热至规定温度。这样,由形成绝缘膜104的SiO2或疑似SiO2层103、氨气和氟化氢气体生成具有络合结构的生成物,该生成的生成物的络合结构热分解,生成物分离气化为四氟化硅、氨、氟化氢。通过该生成物的气化,可以除去绝缘膜104的上层,除去绝缘膜104的表面上的切削残留101、反应生成物102和残渣或除去疑似SiO2层103。此时,当经过规定时间,生成物的生成量饱和,可以利用混合气体的参数控制生成物的生成量。因此,容易进行绝缘膜104表面的切削残留101、反应生成物102和残渣的除去量的控制,或疑似SiO2层103的除去量的控制。
此外,根据本实施方式的基板处理方法,由于在晶片W上进行非等离子体的蚀刻处理,除去切削残留101、反应生成物102、残渣和疑似SiO2层103;在由晶片W制造的电子设备中,电荷不在栅电极蓄积,可以防止栅极氧化膜的劣化和破坏;又由于能量粒子不照射在电子设备上,可防止半导体中产生结晶缺陷;再者,由于不产生由等离子体引起的非预期的化学反应,可防止产生杂质,由此,可以防止腔室38或腔室50内被污染。
再者,根据本实施方式的基板处理方法,还可以在晶片W上进行干燥清洁处理,除去切削残留101、反应生成物102、残渣和疑似SiO2层103,因此不但可以防止晶片W产生表面粗糙,抑制晶片W表面物性的变化,还可以可靠的防止由晶片W制造的电子设备的配线可靠性的降低。
其次,说明本实施方式的化学机械研磨后的清洗方法。
在本实施方式的化学机械研磨后清洗方法中,利用上述的COR处理和PHT处理除去绝缘膜表面上的疑似SiO2层和切削残留等。此外,COR处理和PHT处理在基板处理装置10的第二处理舱12中进行。
图7为表示本实施方式的化学机械研磨后清洗方法的工序图。
在图7中,首先,在晶片W的表面中,在由通过热氧化成膜的SiO2构成的绝缘膜106上,利用RIE处理等,形成配线槽107,利用PVD法或CVD(化学气相沉积Chemical Vapor Deposition)法,在绝缘膜106上堆积作为导电性材料的多晶硅,形成导电膜108(图7(A))。
其次,利用CMP研磨该导电膜108,露出绝缘膜106,由此,形成配线109。此时,在露出的绝缘106的表面上,形成由CMP产生的切削残留110,反应生成物111和残渣(未图示)(图7(B))。
接着,将备有表面上具有切削残留110、反应生成物111和残渣的绝缘膜106的晶片W,搬入干燥炉(未图示)中,干燥绝缘膜106的表面,将具有该被干燥表面的绝缘膜106的晶片W,容纳在第二处理单元34的腔室38中,将该腔室38内的压力调整至规定压力,将氨气、氟化氢气体、氩气导入腔室38内,使腔室38内形成由这些气体组成的混合气体的氛围,在规定压力下,将绝缘膜106暴露在混合气体氛围中(绝缘膜暴露步骤)。由此,由形成绝缘膜106的SiO2、氨气和氟化氢气体生成具有络合结构的生成物,绝缘膜106的上层改质成由生成物构成的生成物层112(图7(C))。
接着,将形成有生成物层112的晶片W载置在第三处理单元36的腔室50内的载置台加热器51上,将该腔室50内的压力调整至规定的压力,将氮气导入腔室50内,产生粘性流,利用载置台加热器51将晶片W加热至规定温度(绝缘膜加热步骤)。此时,生成物层112的生成物的络合结构热分解,生成物分离气化为四氟化硅(SiF4)、氨、氮和氟化氢(图7(D))。气化的这些分子卷入粘性流中,由第三处理单元的排气***67从腔室50中排出。由此,除去绝缘膜106的上层,与绝缘腔106的上层同时,除去绝缘膜106表面上的切削残留110、反应生成物111和残渣(图7(E))。
根据本实施方式的化学机械研磨后的清洗方法,在规定的压力下,将备有在表面上具有由CMP产生的切削残留110、反应生成物111和残渣的绝缘膜106的晶片W暴露在由氨气、氟化氢气体和氩气组成的混合气体氛围中,将暴露在该混合气体氛围中的晶片W加热至规定温度。由此,由形成绝缘膜106的SiO2、氨气和氟化氢气体生成具有络合结构的生成物,使该生成的生成物的络合结构热分解,生成物分离气化成四氟化硅、氨和氟化氢。通过该生成物的气化,可除去绝缘膜106的上层,除去绝缘膜106表面上的切削残留110、反应生成物111和残渣。此时,生成物的生成量可由混合气体的参数控制。因此,容易控制绝缘膜106表面上的切削残留110、反应生成物111和残渣的除去量。
此外,根据本实施方式的化学机械研磨后清洗方法,在将露出的绝缘膜106暴露在上述混合气体的氛围中之前,干燥绝缘膜106的表面。在干燥环境下,可促进上述生成物的生成,因此,可以促进除去切削残留110、反应生成物111和残渣。
上述本实施方式的化学机械研磨后的清洗方法,可除去绝缘膜表面的切削残留等,在使用由SiOCH构成的低介电常数层间绝缘膜作为绝缘膜的情况下,在低介电常数层间绝缘膜表面上,由CMP形成疑似SiO2层。将该疑似SiO2层暴露在上述混合气体氛围中,可改质为生成物层,再通过热使生成物层气化,也可以除去。
接着,说明本发明实施方式的电子设备的制造方法。
在本实施方式的电子设备的制造方法中,采用上述COR处理和PHT处理,除去低介电常数层间绝缘膜的表面上的疑似SiO2层和切削残留等。此外,COR处理和PHT处理在基板处理装置10的第二处理舱12中进行。
图8为表示本发明的实施方式的电子设备的制造方法的工序图。
在图8中,首先在晶片W的表面上,在由热氧化成膜的SiO2构成的绝缘膜113(第一绝缘膜)上,利用RIE等形成配线槽,绝缘膜113上形成由铝(Al)或铝合金(第一导电性材料)构成的导电膜(未图示)。此外,研磨由内蚀刻(etch back)等的平坦化处理形成的导电膜,露出绝缘膜113,由此,在绝缘膜113上形成配线114(配线形成步骤)(图8(A))。
其次,利用CVD法,在绝缘膜113上以覆盖配线114的方式形成由SiOCH构成的低介电常数层间绝缘膜115(第二绝缘膜)(第二绝缘膜成膜步骤),再利用光刻法,形成光致抗蚀剂层125,具有暴露对应于配线114正上方的低介电常数层间绝缘膜115的一部分的开口部124的图案(光致抗蚀剂层形成步骤)(图8(B))。
接着,利用形成的光致抗蚀剂层125作为掩模,利用RIE处理蚀刻低介电常数层间绝缘膜115,在低介电常数层间绝缘膜115上加工形成达到配线114的通孔(连接孔)118(等离子体加工成形步骤)(图8(C))。此时,通孔118的表面被由RIE处理引起碳浓度降低的损坏层119(表面损伤层)覆盖。
其后,一旦将晶片W容纳在第二处理单元34的腔室38中,在规定压力下,将通孔109的表面暴露在由氨气、氟化氢气体和氩气组成的混合气体氛围中(连接孔表面暴露步骤),再将暴露在混合气体氛围中晶片W载置在第三处理单元36的腔室50内的载置台加热器51上,将通孔109的表面加热至规定温度(连接孔表面加热步骤)。由此,将损坏层119改质为生成物层,利用热气化该生成物层,除去覆盖通孔109表面的损坏层119。再将晶片W从第三处理单元36中取出,利用灰化处理等除去光致抗蚀剂层125(灰化步骤)(图8(D))。
接着,利用氮化硅(SiN)或碳化硅(SiC)构成的导电性屏蔽膜120覆盖包含除去损坏层119的通孔118表面的低介电常数层间绝缘膜115的表面(连接孔覆盖步骤)(图8(E)),在被导电性屏蔽膜120覆盖的低介电常数层间绝缘膜115上,利用CVD法或PVD法堆积铜(Cu)(第二导电性材料),形成由铜构成的导电膜121,同时,将铜填充在通孔118中(连接孔填充步骤)(图8(F))。
接着,利用CMP研磨导电膜121和导电性屏蔽膜120,露出低介电常数层间绝缘膜115(导电膜研磨步骤),这样形成通孔填充体122。此时,露出的低介电常数层间绝缘膜115的表面上,形成由CMP引起的疑似SiO2层124。在该疑似SiO2层124上形成由CMP引起的切削残留116、反应生成物117和残渣(未图示)。(图8(G))。
接着,将具有表面上有切削残留116、反应生成物117、残渣和疑似SiO2层124的低介电常数层间绝缘膜115的晶片W,容纳在第二处理单元34的腔室38中,将该腔室38内的压力调整至规定压力,将氨气、氟化氢气体和氩气导入腔室38内,使腔室38内形成由这些气体构成的混合气体氛围,在规定压力下,将低介电常数层间绝缘膜115暴露在混合气体氛围中(第二绝缘膜暴露步骤)。由此,由疑似SiO2层、氨气和氟化氢气体生成具有络合结构的生成物,将疑似SiO2层124改质成由生成物构成的生成物层123(图8(H))。
接着,将形成有生成物层123的晶片W载置在第三处理单元36的腔室50内的载置台加热器51上,将该腔室50内的压力调整至规定的压力,将氮气导入腔室50内,产生粘性流,利用载置台加热器51将晶片W加热至规定的温度(绝缘膜加热步骤)。此时,生成物层123的生成物的络合结构被热分解,生成物分离气化为四氟化硅、氨、氟化氢(图8(I))。气化的这些分子卷入粘性流中,由第三处理单元的排气***67从腔室50排出。由此,可除去疑似SiO2层124,还可除去疑似SiO2层124上的切削残留116、反应生成物117和残渣(图8(J))。
根据本实施方式的电子设备的制造方法,在规定的压力下,将具有在表面有CMP引起的切削残留116、反应生成物117、残渣和疑似SiO2层124的低介电常数层间绝缘膜115的晶片W,暴露在由氨气、氟化氢气体和氩气组成的混合气体氛围中,将暴露在该混合气体氛围中的晶片W加热至规定温度。由此,由疑似SiO2层、氨气和氟化氢气体生成具有络合结构的生成物,利用热使该生成的生成物的络合结构分解,生成物分离气化为四氟化硅、氨和氟化氢。通过这种生成物的气化,除去疑似SiO2层124,还可除去疑似SiO2层124上的切削残留116、反应生成物117和残渣。此时,可利用混合气体的参数控制生成物的生成量。因此,容易进行疑似SiO2层124的除去量的控制和疑似SiO2层124上的切削残留116、反应生成物117和残渣的除去量的控制。
此外,根据本实施方式的电子设备的制造方法,在规定的压力下,将在低介电常数层间绝缘膜115上加工成形的通孔118的表面暴露在包含氨和氟化氢的混合气体氛围中,因此可通过通孔118的表面的生成物的生成和该生成物的加热产生气化,除去由RIE处理引起产生的通孔118的损坏层119,防止由损坏层119引起的配线延迟的产生。
根据本实施方式的电子设备的制造方法,利用导电性屏蔽膜120覆盖加热至规定温度已除去损坏层119的通孔118的表面,可防止通孔118的表面和填充在该通孔118中的铜的接触,由此,可防止铜向低介电常数层间绝缘膜115扩散。
此外,在上述图8的电子设备的制造方法中,在将铜填充至通孔118中之前,除去光致抗蚀剂层125,也可以在将铜填充到通孔118后除去该光致抗蚀剂层125,例如,当利用CMP研磨导电膜121和导电性屏蔽膜120时,也可以同时利用该CMP研磨。由此可提高产量。
在上述本实施方式的化学机械研磨后清洗方法或电子设备的制造方法中,优选在除去绝缘膜的上层或疑似SiO2层之前,将晶片W搬入第一IMS17中,测定绝缘膜的表面形状,EC89的CPU根据该测定的表面形状,基于绝缘膜的表面形状和与绝缘膜的上层的除去量或疑似SiO2层的除去量相关的处理条件参数的规定关系,决定氟化氢气体相对于氨气的体积流量比和腔室38内的规定压力、载置在载置台加热器51上的晶片W的加热温度等的目标值。由此,可以正确地进行绝缘膜的上层除去量的控制,进而可以正确的进行绝缘膜的表面上的切削残留等除去量的控制或疑似SiO2层的除去量的控制,还可提高电子设备的制造效率。再者,通过除去绝缘膜,可以在消除由CMP产生的局部的绝缘膜腐蚀时,正确地控制绝缘膜的除去量,还可以正确地进行再平坦化。
此外,基于绝缘膜的上层等除去前和除去后的绝缘膜的表面形状的差别,可以决定是否再次进行绝缘膜的上层等的除去,在再次除去绝缘膜的上层等的情况下,EC89的CPU可以根据绝缘膜上层等除去后的绝缘膜的表面形状,基于上述规定的关系,决定氟化氢气体相对于氨气的体积流量比,或者决定再次用CMP研磨。由此,可以正确地进行再次的绝缘膜上层等的除去量的控制,还可以正确地进行绝缘膜上层等的再平坦化。
上述本实施方式的基板处理方法适用的基板处理装置不只是限于图1所示的相互平行的配置有两个处理舱的并行式基板处理装置,也可以如图9或图10所示,使用以放射状配置作为在晶片W上进行规定处理的真空处理室的多个处理单元的基板处理装置。
图9为表示适用于本实施方式的基板处理方法的基板处理装置的第一变形例的概略结构的立体图。在图9中,与图1的基板处理装置10的构成要素相同的构成要素使用相同的符号表示,省略其说明。
在图9中,基板处理装置137具有:在平面看为六角形的传送单元138;以放射状配置在该传送单元138的周围的4个处理单元139~142;装载单元13;配置在传送单元138和装载单元13之间、连接传送单元138和装载单元13的两个负载锁定单元143、144。
传送单元138和各个处理单元139~142的内部压力维持在真空,传送单元138和各个处理单元139~142分别通过真空闸阀145~148连接。
在基板处理装置137中,装载单元13的内部压力维持在大气压,另一方面,传送单元138的内部压力维持在真空。因此,各个负载锁定单元143、144分别在与传送单元138的连接部具有真空闸阀149、150,同时,在与装载单元13的连接部具有大气门阀151、152,由此,构成为可调整其内部压力的真空预备搬运室。此外,各个负载锁定单元143、144具有在装载单元13和传送单元138之间暂时载置转移的晶片W的晶片载置台153、154。
传送单元138具有配置在其内部,可以自由屈伸和旋转或各个负载锁定单元143、144之间搬运晶片W。
各个处理单元139~142分别具有载置已处理的晶片W的载置台156~159。此处,处理单元140具有与基板处理装置10的第一处理单元25相同的结构,处理单元141具有与第二处理单元34相同的结构,处理单元142具有与第三处理单元36相同的结构。因此,处理单元140中可以对晶片W进行RIE处理,处理单元141中可以对晶片W进行COR处理,处理单元142可以对晶片W进行PHT处理。
在基板处理装置137中,通过将具有表面上有切削残留等或疑似SiO2层的绝缘膜的晶片W搬入处理单元141中,进行COR处理,再搬入处理单元142中进行PHT处理,可以实行上述本实施方式的基板处理方法。
此外,在基板处理装置137中,处理单元139也可以为在晶片W的表面上形成绝缘膜等的成膜装置(CVD装置);且处理单元140为在晶片W上进行CMP处理的研磨装置。在这种情况下,传送臂155按处理单元139~142的顺序搬运晶片W,可以连续地对该晶片W进行成膜处理、CMP处理,COR处理和PHT处理。由此,可以提高产量。此外,在连续处理时,由于晶片W不从装载单元13搬出,晶片W不与大气接触,因此可防止在绝缘膜上产生氧化膜,同时由于可以防止颗粒附着在晶片W的表面上,可以提高由晶片W制造的电子设备的配线的可靠性。
基板处理装置137的各个构成元件的动作由结构与基板处理装置10的***控制器相同的***控制器控制。
图10为表示采用本实施方式的基板处理方法适用的基板处理装置的第二变形例的概略结构的俯视图。其中,在图10中,与图1的基板处理装置10和图9的的基板处理装置137的构成元件相同的构成元件使用相同的符号表示,省略其说明。
在图10中,基板处理装置160在图9的基板处理装置137上,追加两个处理单元161、162。与此相对应,传送单元163的形状与基板处理装置137的传送单元138的形状不同。追加的两个处理单元161、162分别通过真空闸阀164、165与传送单元163连接,同时具有晶片W的载置台166、167。
此外,传送单元163具有由两个SCARA型的传送臂构成的传送臂单元168。该传送臂单元168沿着配置在传送单元163内的导轨169移动,在各个处理单元139~142、161、162或各个负载锁定单元143、144之间搬送晶片W。
在基板处理装置160中,与基板处理装置137同样,通过将具有表面上有切削残留等或疑似SiO2层的绝缘膜的晶片W,搬入处理单元141中,进行COR处理,再搬入处理单元142中进行PHT处理,可实行上述本实施方式的基板处理方法。
此外,在基板处理装置160中,也可以与基板处理装置137同样,处理单元139(或处理单元161)为在晶片W的表面上形成绝缘膜等的成膜装置(CVD装置),且处理单元140(或处理单元139)为在晶片W上进行CMP处理的研磨装置。在这种情况下,可提高生产量,可以提高由晶片W制造的电子设备的配线可靠性。
基板处理装置160中的各个构成元件的动作由结构与基板处理装置10的***控制器相同的***控制器控制。
在上述电子设备中,除了所谓的半导体设备外还包含非挥发性或大容量的存储器元件,该存储器元件具有由铁电物质、高电介质材料等的绝缘性金属氧化物,特别是具有钙钛矿型结晶结构的物质构成的薄膜。作为具有钙钛矿型结晶结构的物质,有锆钛酸铅(PZT),钛酸钡锶(PST)和钽酸锶铋铌(SBT)等。
本发明的目的是通过将记录实现上述本实施方式功能的软件的程序代码的存储介质供给EC89,由EC89的计算机(或CPU或MPU等)读出和运行存放在存储介质中的程序代码来达成的。
在这种情况下,从存储介质读出的程序代码本身实现上述本实施方式的功能,该程序代码和存储该程序代码的存储介质构成本发明。
作为供给程序代码用的存储介质,例如可以使用软盘(floppy(注册商标)disk)、硬盘、磁光盘、CD-ROM、CD-R、CD-RW、DVD-ROM、DVD-RAM、DVD-RW、DVD+RW等光盘、磁带、非挥发性的存储器卡、ROM等。此外,也可以通过网络下载程序代码。
此外,不但可以通过计算机运行读出的程序代码,实现上述本实施方式的功能,且还有根据该程序代码的指示,在计算机上运行的OS(操作***)等进行部分或全部实际处理,通过该处理,实现上述本实施方式的功能的情况。
此外,还包含将从存储介质读出的程序代码,写入***计算机的功能扩张板或与计算机连接的功能扩张单元具有的存储器中后,根据该程序代码的指示,CPU等运行扩张板或扩张单元具有的扩张功能进行部分或全部实际处理,由该处理实现上述本实施方式的功能的情况。
上述程序代码的形态也可以,例如由目标代码,译码程序实行的程序代码,供给OS的脚本数据等形态构成。

Claims (16)

1.一种基板的处理方法,处理具有通过化学机械研磨而露出绝缘膜的基板,其特征在于,具有:
在规定压力下,将所述露出的绝缘膜暴露在含有氨和氟化氢的混合气体氛围中的绝缘膜暴露步骤;和
将暴露在所述混合气体氛围中的绝缘膜加热至规定温度的绝缘膜加热步骤,
所述绝缘膜加热步骤在6.7×10~1.3×102Pa下进行,
所述绝缘膜暴露步骤和所述绝缘膜加热步骤中不使用水成分。
2.如权利要求1所述的基板处理方法,其特征在于,所述露出的绝缘膜为低介电常数绝缘膜。
3.如权利要求1或2所述的基板处理方法,其特征在于,在所述绝缘膜暴露步骤中,在所述基板上进行无等离子体蚀刻处理。
4.如权利要求1或2所述的基板处理方法,其特征在于,在所述绝缘膜暴露步骤中,在所述基板上进行干燥清洗处理。
5.如权利要求1或2所述的基板处理方法,其特征在于,在所述混合气体中,所述氟化氢相对于所述氨的体积流量比为1~1/2,所述规定压力为6.7×10-2~4.0Pa。
6.如权利要求1或2所述的基板处理方法,其特征在于,所述规定的温度为80~200℃。
7.如权利要求1或2所述的基板处理方法,其特征在于,还具有测定所述露出的绝缘膜的形状,根据该测定的形状,决定所述混合气体中所述氟化氢相对于所述氨的体积流量比和所述规定压力中至少一个的生成物生成条件决定步骤。
8.如权利要求1或2所述的基板处理方法,其特征在于,所述露出的绝缘膜具有由所述化学机械研磨产生的切削残留。
9.如权利要求1或2所述的基板处理方法,其特征在于,所述露出的绝缘膜具有由在所述化学机械研磨中使用的研磨剂引起的反应生成物。
10.如权利要求1或2所述的基板处理方法,其特征在于,所述绝缘膜具有碳浓度降低的表面损伤层。
11.一种化学机械研磨后的清洗方法,在通过化学机械研磨研磨在基板表面上成膜的绝缘膜上形成的导电膜后,在所述基板上进行,其特征在于,具有:
在规定的压力下,将通过所述化学机械研磨露出的所述绝缘膜暴露在含有氨和氟化氢的混合气体氛围中的绝缘膜暴露步骤;和
将暴露在所述混合气体氛围中的所述绝缘膜加热至规定温度的绝缘膜加热步骤,
所述绝缘膜加热步骤在6.7×10~1.3×102Pa下进行,
所述绝缘膜暴露步骤和所述绝缘膜加热步骤中不使用水成分。
12.如权利要求11所述的化学机械研磨后的清洗方法,其特征在于,具有在将所述露出的所述绝缘膜暴露在所述混合气体氛围中之前,对所述露出的绝缘膜的表面进行干燥的绝缘膜干燥步骤。
13.一种电子设备的制造方法,其特征在于,具有:
在半导体基板的表面上成膜的第一绝缘膜上,形成由第一导电性材料构成的配线的配线形成步骤;
在所述第一绝缘膜上形成覆盖所述配线的第二绝缘膜的第二绝缘膜成膜步骤;
在所述成膜的第二绝缘膜上形成规定图案的光致抗蚀剂层的光致抗蚀剂层形成步骤;
利用该形成的光致抗蚀剂层,通过等离子体处理,在所述第二绝缘膜上,加工形成达到所述配线的连接孔的等离子体加工成形步骤;
除去所述光致抗蚀剂层的灰化步骤;
在所述第二绝缘膜上,形成由第二导电性材料构成的导电膜,将所述第二导电性材料填充在所述连接孔中的连接孔填充步骤;
利用化学机械研磨研磨所述成膜的导电膜的导电膜研磨步骤;
在规定的压力下,将通过所述化学机械研磨露出的所述第二绝缘膜,暴露在含有氨和氟化氢的混合气体氛围中的第二绝缘膜暴露步骤;和
将暴露在所述混合气体氛围中的所述第二绝缘膜加热至规定温度的第二绝缘膜加热步骤。
14.如权利要求13所述的电子设备的制造方法,其特征在于,具有:
在规定压力下,将所述加工成形的连接孔的表面暴露在含有氨和氟化氢的混合气体氛围中的连接孔表面暴露步骤;和
将暴露在所述混合气体氛围中的连接孔的表面加热至规定温度的连接孔表面加热步骤。
15.如权利要求14所述的电子设备的制造方法,其特征在于,还具有:用导电性屏障覆盖所述加热至规定温度的连接孔的表面的连接孔覆盖步骤。
16.一种电子设备的制造方法,其特征在于,具有:
在半导体基板的表面上成膜的第一绝缘膜上,形成由第一导电性材料构成的配线的配线形成步骤;
在所述第一绝缘膜上形成覆盖所述配线的第二绝缘膜的第二绝缘膜成膜步骤;
在所述成膜的第二绝缘膜上形成规定图案的光致抗蚀剂层的光致抗蚀剂层形成步骤;
利用该形成的光致抗蚀剂层,通过等离子体处理,在所述第二绝缘膜上,加工形成达到所述配线的连接孔的等离子体加工成形步骤;
在所述第二绝缘膜上,形成由第二导电性材料构成的导电膜,将所述第二导电性材料填充在所述连接孔中的连接孔填充步骤;
利用化学机械研磨研磨所述光致抗蚀层和所述成膜的导电膜的导电膜研磨步骤;
在规定的压力下,将通过所述化学机械研磨露出的所述第二绝缘膜,暴露在含有氨和氟化氢的混合气体氛围中的第二绝缘膜暴露步骤;和
将暴露在所述混合气体氛围中的所述第二绝缘膜加热至规定温度的第二绝缘膜加热步骤。
CNB2006100074782A 2005-02-14 2006-02-14 基板处理方法、清洗方法、电子设备的制造方法和程序 Expired - Fee Related CN100449709C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2005036717 2005-02-14
JP2005036717 2005-02-14
JP2005278841 2005-09-26

Publications (2)

Publication Number Publication Date
CN1822327A CN1822327A (zh) 2006-08-23
CN100449709C true CN100449709C (zh) 2009-01-07

Family

ID=36923529

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2006100074782A Expired - Fee Related CN100449709C (zh) 2005-02-14 2006-02-14 基板处理方法、清洗方法、电子设备的制造方法和程序

Country Status (1)

Country Link
CN (1) CN100449709C (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102371525B (zh) * 2010-08-19 2014-09-24 中芯国际集成电路制造(上海)有限公司 抛光装置
US8524599B2 (en) 2011-03-17 2013-09-03 Micron Technology, Inc. Methods of forming at least one conductive element and methods of forming a semiconductor structure
JP6796559B2 (ja) * 2017-07-06 2020-12-09 東京エレクトロン株式会社 エッチング方法および残渣除去方法
JP2019145737A (ja) * 2018-02-23 2019-08-29 ソニーセミコンダクタソリューションズ株式会社 半導体装置および半導体装置の製造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6541351B1 (en) * 2001-11-20 2003-04-01 International Business Machines Corporation Method for limiting divot formation in post shallow trench isolation processes
US6656824B1 (en) * 2002-11-08 2003-12-02 International Business Machines Corporation Low resistance T-gate MOSFET device using a damascene gate process and an innovative oxide removal etch
US20040185583A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Method of operating a system for chemical oxide removal
US20040241981A1 (en) * 2003-06-02 2004-12-02 International Business Machines Corporation STRUCTURE AND METHOD TO FABRICATE ULTRA-THIN Si CHANNEL DEVICES

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6541351B1 (en) * 2001-11-20 2003-04-01 International Business Machines Corporation Method for limiting divot formation in post shallow trench isolation processes
US6656824B1 (en) * 2002-11-08 2003-12-02 International Business Machines Corporation Low resistance T-gate MOSFET device using a damascene gate process and an innovative oxide removal etch
US20040185583A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Method of operating a system for chemical oxide removal
US20040241981A1 (en) * 2003-06-02 2004-12-02 International Business Machines Corporation STRUCTURE AND METHOD TO FABRICATE ULTRA-THIN Si CHANNEL DEVICES

Also Published As

Publication number Publication date
CN1822327A (zh) 2006-08-23

Similar Documents

Publication Publication Date Title
CN100517602C (zh) 基板的处理方法、电子器件的制造方法和程序
US7510972B2 (en) Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device
CN100395872C (zh) 基板表面的处理方法、基板的清洗方法及程序
US20060196527A1 (en) Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods
TWI624860B (zh) 含氧之陶瓷硬遮罩及相關濕式清潔
JP7344867B2 (ja) 水平表面上におけるSiNの選択的堆積
US9425041B2 (en) Isotropic atomic layer etch for silicon oxides using no activation
US9627608B2 (en) Dielectric repair for emerging memory devices
US8956546B2 (en) Substrate processing method and substrate processing apparatus
JP2003051481A (ja) 半導体集積回路装置の製造方法
JPWO2015115002A1 (ja) 微細パターンの形成方法、半導体装置の製造方法、基板処理装置及び記録媒体
TWI415177B (zh) A substrate processing method and a substrate processing apparatus
CN100449709C (zh) 基板处理方法、清洗方法、电子设备的制造方法和程序
EP1696476B1 (en) Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods
US8206605B2 (en) Substrate processing method and substrate processing system
US7993540B2 (en) Substrate processing method and substrate processing apparatus
TWI385722B (zh) Substrate processing method, cleaning method after chemical mechanical polishing, the method and program for producing electronic device
KR20060133606A (ko) 콘택홀 세정방법 및 이를 이용한 반도체 소자의 제조방법
CN1307707C (zh) 一种含镁锌氧的金属-绝缘层-半导体结构及制备工艺
JP5069982B2 (ja) 半導体装置の製造方法および半導体装置
US20240087906A1 (en) Anti-oxidation layer to prevent dielectric loss from planarization process
TW201320241A (zh) 處理基板的系統與方法
KR20050066113A (ko) 폴리에처에서의 스트립챔버를 이용한 로드락 챔버부식방지시스템 및 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090107

Termination date: 20210214

CF01 Termination of patent right due to non-payment of annual fee