CN100378433C - 一种基于fpga的工业大型吊车吊钩位置测量装置 - Google Patents

一种基于fpga的工业大型吊车吊钩位置测量装置 Download PDF

Info

Publication number
CN100378433C
CN100378433C CNB2005100195513A CN200510019551A CN100378433C CN 100378433 C CN100378433 C CN 100378433C CN B2005100195513 A CNB2005100195513 A CN B2005100195513A CN 200510019551 A CN200510019551 A CN 200510019551A CN 100378433 C CN100378433 C CN 100378433C
Authority
CN
China
Prior art keywords
module
control module
master controller
input terminal
input
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2005100195513A
Other languages
English (en)
Other versions
CN1746620A (zh
Inventor
方康玲
黄睿
陈国年
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Wuhan University of Science and Engineering WUSE
Original Assignee
Wuhan University of Science and Engineering WUSE
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Wuhan University of Science and Engineering WUSE filed Critical Wuhan University of Science and Engineering WUSE
Priority to CNB2005100195513A priority Critical patent/CN100378433C/zh
Publication of CN1746620A publication Critical patent/CN1746620A/zh
Application granted granted Critical
Publication of CN100378433C publication Critical patent/CN100378433C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Jib Cranes (AREA)

Abstract

本发明涉及一种工业大型吊车吊钩位置测量装置。其方案是将编码器[1]的和数据采集器[19]与光电隔离模块[2]连接,光电隔离模块[2]与信号滤波模块[3]和总控制模块[5]分别连接,信号滤波模块[3]与相位方向识别模块[4]连接,相位方向识别模块[4]的一端与双向计数器模块[6]的一端连接,相位方向识别模块[4]的另一端和双向计数器模块[6]的另一端分别与总控制模块[5]连接。键盘[18]通过装载模块[17]、存储器[13]通过总线模块[12]、通讯接口[11]通过通讯控制模块、LED显示器[9]通过显示控制模块[8]与总控制模块[5]分别连接;计算模块[7]、时钟[14]、复位开关[15]与总控制模块[5]分别连接。本装置具有精度高、升级方便,抗干扰性强,活用于各种恶劣环境的特点。

Description

一种基于FPGA的工业大型吊车吊钩位置测量装置
一、技术领域
本发明属于工业大型吊车吊钩位置测量装置。尤其涉及一种基于FPGA的工业大型吊车吊钩位置测量装置。
二、背景技术
随着工业化进程的发展,工业大型吊车越来越广泛地应用于石油、冶金等重型工业生产中。在大型工业吊车运行中由于吊车司机位置往往离地面很高(一般为20米到50米),司机很难能准确判断出吊钩的准确位置,只能完全依靠地面人员的指挥,效率低下,而且生产安全完全由地面指挥人员负责,发生事故的概率较高。一种“自动计数测长器”(CN92201010.2),提出了机械式的自动计数测量方法,但是该方法有明显缺陷:首先,该方法要求被测物体与测量装置保持无滑动的滚动,在工业现场较难严格保证;其次,由于该装置的设计限制了不能在大范围内对被测物体进行测量;再次,该装置为机械结构,不能对测量进行误差补偿,并且不能应用在高粉尘、工作条件恶劣的工业现场;另外,该装置在实现过程中没有考虑实际生产中对装置进行监测的问题,没有设计人机界面和与上位机通讯的模块。
三、发明内容
本发明的目的是提供一种精度高、体积小、成本低、通用性好、抗干扰能力强、升级方便、适用于各种工业恶劣环境、能实现与上位机通讯、可对被测吊钩位置进行实时跟踪的工业大型吊车吊钩位置测量装置。
为实现上述测量目的,本发明所采用的技术方案是:将编码器和数据采集器分别与光电隔离模块连接,光电隔离模块与信号滤波模块和总控制模块分别连接,信号滤波模块与相位方向识别模块连接,相位方向识别模块的一端与双向计数器模块的一端连接,相位方向识别模块的另一端和双向计数器模块的另一端分别与总控制模块连接。键盘通过装载模块与总控制模块连接,存储器通过总线模块与总控制模块连接,通讯接口通过通讯控制模块与总控制模块连接,LED显示器通过显示控制模块与总控制模块连接;计算模块、时钟、复位开关与总控制模块分别连接。
信号滤波模块、相位方向识别模块、总控制模块、双向计数器模块、计算模块、显示控制模块、通讯控制模块、总线模块和装载模块用硬件描述语言VHDL编程并被集成到一片FPGA中。
其中:所述的总控制模块由总控制器分频模块、微处理器模块和总控制器总线模块组成,微处理器模块一端与总控制器总线模块连接、另一端与总控制器分频模块连接。在总控制模块中:
总控制器总线模块与光电隔离模块、复位开关、装载模块、相位方向识别模块、时钟分别连接;
总控制器总线模块与显示控制模块、通讯控制模块、总线模块的一端分别连接,总控制器分频模块与显示控制模块、通讯控制模块、总线模块的另一端分别连接;
总控制器总线模块的输入端DcoutIn0~DcoutIn15与双向计数器模块的输出端D0~D15连接;
总控制器总线模块的输入端DIn0~DIn13与计算模块[7]的输出端DoutCal0~DoutCal13连接,总控制器总线模块的输出端DoneOut0~DoneOut7、LoadDataOut0~LoadDataOut15与计算模块的输入端DoneCal0~DoneCal7、LoadDataCal0~LoadDataCal15连接。
所述的信号滤波模块由分频器、比较器和算法计算器组成,分频器的输出端Clk与比较器的输入端Clkin连接,比较器的输出端SingalOut1~SingalOut3与算法计算器的输入端Din1~Din3连接;光电隔离模块的输出端SingalA、SingalB和SingalZ与比较器的输入端SingalIn1、SingalIn2和SingalIn3连接,算法计算器的输出端Dout1~Dout3与相位方向识别模块的输入端DphaseIn1~DphaseIn3连接。
所述的相位方向识别模块由触发器和方向识别控制器组成,触发器的输出端DphaseOut1~DphaseOut3与方向识别控制器的输入端Din1~Din3连接;触发器的输入端与信号滤波模块的输出端连接,触发器的输入端En与总控制器总线模块的输出端Entirger连接,方向识别控制器的输出端Dphase1、Dphase2分别与双向计数器模块的输入端Up、Down和总控制器总线模块的输入端Din1、Din2连接,方向识别控制器的输入端En与总控制器总线模块的输出端Endir连接。
所述的显示控制模块由显示译码器和显示驱动器组成,显示译码器的输出端X0~X13与显示驱动器的输入端XL0~XL13端连接;显示驱动器的输出端XA0~XA7、XB0~XB7、XC0~XC7、XD0~XD7与LED显示器的输入端DispA0~DispA7、DispB0~DispB7、DispC0~DispC7、DispD0~DispD7连接,显示译码器的输入端In0~In31、读写信号端Tw、Rw与总控制器总线模块[22]的输出端Ddisp0~Ddisp31、读写信号端Xtw、Xrw连接,显示译码器的输入端Clkin与控制器分频模块的输出端Dispclk连接。
所述的通讯控制模块由波特率发生模块、发送模块和接收模块组成,波特率发生模块的输出端Bdout分别与接收模块的波特率输入端Bdrxd和发送模块的波特率输入端Bdtxd连接;接收模块的输入端Rxd和发送模块的输出端Txd分别与通讯接口的输出端Xrxd和输入端Xtxd连接,接收模块的输出端Dout0~Dout7与总控制器总线模块的输入端Duart0~Duart7连接,发送模块的输入端与总控制器总线模块的输出端Duart8~Duart15连接,波特率发生模块的输入端Clkin与总控制器分频模块的输出端Uartclk连接。
所述的总线模块由时序生成模块和逻辑控制模块组成,逻辑控制模块的输出端Dlout0、Dlout1与时序生成模块的输入端Dl0、Dl1连接;时序生成模块的输出端Scl、双向端Sda与存储模块的输入端Scleep、双向端Sdaeep连接,时序生成器的双向端Bidriect0、Bidriect1与总控制器总线模块的双向端Bidriectcon0、Bidriectcon1连接,逻辑控制模块的输入端Dlin0、Dlin1与总控制器总线模块的输出端Dconl0、Dconl1连接,时序生成器的输入端Clkin与总控制器分频模块的输出端Clk连接。
所述的装载模块由数据转换模块和数据运算模块连接组成,数据转换模块的输入端Dcov0~Dcov7与键盘的输出端Dkey0~Dkey7连接,数据运算模块的输入端Func0、Func1与总控制器总线模块的输出端FuncIn0、FuncIn1连接,数据运算模块的输出端Done0~Done7和Loadata0~Loadata15与总控制器总线模块的输入端Donein0~Donein7和Loadatain0~Loadatain15连接。
由于采用上述技术方案,本发明具有测量精度高、开发成本低、开发周期短、产品生命周期长、升级方便、抗干扰性强、适用于各种工业恶劣环境的特点,并能实现与上位机通讯,可对被测吊钩位置进行实时跟踪。本装置由于将各个模块集成到一块FPGA芯片中,因而体积小、重量轻、功耗低。
四、附图说明
图1是本发明的一种总体结构示意框图;
图2是图1中的总控制模块[5]的工作示意框图;
图3是图1中的信号滤波模块[3]的工作示意框图;
图4是图1中的相位方向识别模块[4]的工作示意框图;
图5是图1中的显示控制模块[8]的工作示意框图;
图6是图1中的通讯控制模块[10]的工作示意框图;
图7是图1中的I2C总线模块[12]的工作示意框图;
图8是图1中的装载模块[17]的工作示意框图。
五、具体实施方式
下面结合附图,对本发明作进一步的描述:
一种基于FPGA的工业大型吊车吊钩位置测量装置,如图1所示,将编码器[1]和数据采集器[19]分别与光电隔离模块[2]连接,光电隔离模块[2]与信号滤波模块[3]和总控制模块[5]分别连接,信号滤波模块[3]与相位方向识别模块[4]连接,相位方向识别模块[4]的一端与双向计数器模块[6]的一端连接,相位方向识别模块[4]的另一端和双向计数器模块[6]的另一端分别与总控制模块[5]连接;键盘[18]通过装载模块[17]与总控制模块[5]连接,存储器[13]通过总线模块[12]与总控制模块[5]连接,通讯接口[11]通过通讯控制模块[10]与总控制模块[5]连接,LED显示器[9]通过显示控制模块[8]与总控制模块[5]连接;计算模块[7]、时钟[14]、复位开关[15]与总控制模块[5]分别连接;其中,
信号滤波模块[3]、相位方向识别模块[4]、总控制模块[5]、双向计数器模块[6]、计算模块[7]、显示控制模块[8]、通讯控制模块[10]、总线模块[12]和装载模块[17]用硬件描述语言VHDL编程并被集成到一片FPGA[16]中。
总控制模块[5]如图2所示。由总控制器分频模块[20]、微处理器模块[21]和总控制器总线模块[22]组成,微处理器模块[21]一端与总控制器总线模块[22]连接、另一端与总控制器分频模块[20]连接;其中,
总控制器总线模块[22]与光电隔离模块[2]、复位开关[15]、装载模块[17]、相位方向识别模块[4]、时钟[14]分别连接;
总控制器总线模块[22]与显示控制模块[8]、通讯控制模块[10]、总线模块[12]的一端分别连接,总控制器分频模块[20]与显示控制模块[8]、通讯控制模块[10]、总线模块[12]的另一端分别连接;
总控制器总线模块[22]的输入端DcoutIn0~DcoutIn15与双向计数器模块[6]的输出端D0~D15连接;
总控制器总线模块[22]的输入端DIn0~DIn13与计算模块[7]的输出端DoutCal0~DoutCal13连接,总控制器总线模块[22]的输出端DoneOut0~DoneOut7、LoadDataOut0~LoadDataOut15与计算模块[7]的输入端DoneCal0~DoneCal7、LoadDataCal0~LoadDataCal15连接。
信号滤波模块[3]如图3所示,由分频器[23]、比较器[24]和算法计算器[25]组成,分频器[23]的输出端Clk与比较器[24]的输入端Clkin连接,比较器[24]的输出端SingalOut1~SingalOut3与算法计算器[25]的输入端Din1~Din3连接;光电隔离模块[2]的输出端SingalA、SingalB和SingalZ与比较器[24]的输入端SingalIn1、SingalIn2和SingalIn3连接,算法计算器[25]的输出端Dout1~Dout3与相位方向识别模块[4]的输入端DphaseIn1~DphaseIn3连接。
相位方向识别模块[4]如图4所示,由触发器[26]和方向识别控制器[27]组成,触发器[26]的输出端DphaseOut1~DphaseOut3与方向识别控制器[27]的输入端Din1~Din3连接;触发器[26]的输入端与信号滤波模块[3]的输出端连接,触发器[26]的输入端En与总控制器总线模块[22]的输出端Entirger连接,方向识别控制器[27]的输出端Dphase1、Dphase2分别与双向计数器模块[6]的输入端Up、Down和总控制器总线模块[22]的输入端Din1、Din2连接,方向识别控制器[27]的输入端En与总控制器总线模块[22]的输出端Endir连接。
显示控制模块[8]如图5所示,由显示译码器[28]和显示驱动器[29]组成,显示译码器[28]的输出端X0~X13与显示驱动器[29]的输入端XL0~XL13端连接;显示驱动器[29]的输出端XA0~XA7、XB0~XB7、XC0~XC7、XD0~XD7与LED显示器[9]的输入端DispA0~DispA7、DispB0~DispB7、DispC0~DispC7、DispD0~DispD7连接,显示译码器[28]的输入端In0~In31、读写信号端Tw、Rw与总控制器总线模块[22]的输出端Ddisp0~Ddisp31、读写信号端Xtw、Xrw连接,显示译码器[28]的输入端Clkin与控制器分频模块[20]的输出端Dispclk连接。
通讯控制模块[10]如图6所示,由波特率发生模块[30]、发送模块[31]和接收模块[32]组成,波特率发生模块[30]的输出端Bdout分别与接收模块[32]的波特率输入端Bdrxd和发送模块[31]的波特率输入端Bdtxd连接;接收模块[32]的输入端Rxd和发送模块[31]的输出端Txd分别与通讯接口[11]的输出端Xrxd和输入端Xtxd连接,接收模块[32]的输出端Dout0~Dout7与总控制器总线模块[22]的输入端Duart0~Duart7连接,发送模块[31]的输入端与总控制器总线模块[22]的输出端Duart8~Duart15连接,波特率发生模块[30]的输入端Clkin与总控制器分频模块[20]的输出端Uartclk连接。
总线模块[12]如图7所示,由时序生成模块[33]和逻辑控制模块[34]组成,逻辑控制模块[34]的输出端Dlout0、Dlout1与时序生成模块[33]的输入端Dl0、Dl1连接;时序生成模块[33]的输出端Scl、双向端Sda与存储模块[13]的输入端Scleep、双向端Sdaeep连接,时序生成器[33]的双向端Bidriect0、Bidriect1与总控制器总线模块[22]的双向端Bidriectcon0、Bidriectcon1连接,逻辑控制模块[34]的输入端Dlin0、Dlin1与总控制器总线模块[22]的输出端Dconl0、Dconl1连接,时序生成器[33]的输入端Clkin与总控制器分频模块[20]的输出端Clk连接。
装载模块[17]如图8所示,由数据转换模块[35]和数据运算模块[36]连接组成,数据转换模块[35]的输入端Dcov0~Dcov7与键盘[18]的输出端Dkey0~Dkey7连接,数据运算模块[36]的输入端Func0、Func1与总控制器总线模块[22]的输出端FuncIn0、FuncIn1连接,数据运算模块[36]的输出端Done0~Done7和Loadata0~Loadata15与总控制器总线模块[22]的输入端Donein0~Donein7和Loadatain0~Loadatain15连接。
本具体实施方式如附图所示,在大型工业吊车牵引滚筒处同轴安装旋转式光电编码器[1],通过键盘[18]输入参数:S为吊钩对地的垂直距离;N1为动滑轮组数;N2为旋转编码器P/R;L为吊钩的上级限到底面的距离;D为滚筒直径。由公式
S = L - πD N 1 N 2 N
可得吊车吊钩对地面的垂直距离S。
将旋转式光电编码器[1]和上下极限输入信号的数据采集器[19]的输出端分别与光电隔离模块[2]的输入端连接;经过装载模块[17]把初值由总控制模块[5]装载入16BIT双向计数器模块[6],该装置通过计算模块和16BIT双向计数器模块[6]时实计算出吊钩位置;显示控制模块[8]通过LED显示器[9]显示数据;EEPROM存储模块[13]通过I2C总线模块[12]和总控制模块[5]存放关键数据;通讯接口[11]通过通讯控制模块[10]与上位机通讯完成时实监测和故障检测;总控制模块[5]控制协调各个模块正常运转和数据流的传输。
本装置利用了旋转式光电编码器[1]作为测量传感器把对于吊钩的垂直距离测量转换成了对于旋转式光电编码器[1]输出信号的测量,并且通过FPGA技术进行总控。该装置开发成本低、开发周期短,产品生命周期长,升级方便,抗干扰性强,适用于各种工业恶劣环境。

Claims (8)

1.一种基于FPGA的工业大型吊车吊钩位置测量装置,其特征在于将编码器[1]和数据采集器[19]分别与光电隔离模块[2]连接,光电隔离模块[2]与信号滤波模块[3]和总控制模块[5]分别连接,信号滤波模块[3]与相位方向识别模块[4]连接,相位方向识别模块[4]的一端与双向计数器模块[6]的一端连接,相位方向识别模块[4]的另一端和双向计数器模块[6]的另一端分别与总控制模块[5]连接;键盘[18]通过装载模块[17]与总控制模块[5]连接,存储器[13]通过总线模块[12]与总控制模块[5]连接,通讯接口[11]通过通讯控制模块[10]与总控制模块[5]连接,LED显示器[9]通过显示控制模块[8]与总控制模块[5]连接;计算模块[7]、时钟[14]、复位开关[15]与总控制模块[5]分别连接;其中,
信号滤波模块[3]、相位方向识别模块[4]、总控制模块[5]、双向计数器模块[6]、计算模块[7]、显示控制模块[8]、通讯控制模块[10]、总线模块[12]和装载模块[17]用硬件描述语言VHDL编程并被集成到一片FPGA[16]中。
2.根据权利要求1所述的基于FPGA的工业大型吊车吊钩位置测量装置,其特征在于所述的总控制模块[5]由总控制器分频模块[20]、微处理器模块[21]和总控制器总线模块[22]组成,微处理器模块[21]一端与总控制器总线模块[22]连接、另一端与总控制器分频模块[20]连接;其中,
--总控制器总线模块[22]与光电隔离模块[2]、复位开关[15]、装载模块[17]、相位方向识别模块[4]、时钟[14]分别连接;
--总控制器总线模块[22]与显示控制模块[8]、通讯控制模块[10]、总线模块[12]的一端分别连接,总控制器分频模块[20]与显示控制模块[8]、通讯控制模块[10]、总线模块[12]的另一端分别连接;
--总控制器总线模块[22]的输入端DcoutIn0~DcoutIn15与双向计数器模块[6]的输出端D0~D15连接;
--总控制器总线模块[22]的输入端DIn0~DIn13与计算模块[7]的输出端DoutCal0~DoutCal13连接,总控制器总线模块[22]的输出端DoneOut0~DoneOut7、LoadDataOut0~LoadDataOut15与计算模块[7]的输入端DoneCal0~DoneCal7、LoadDataCal0~LoadDataCal15连接。
3.根据权利要求1所述的基于FPGA的工业大型吊车吊钩位置测量装置,其特征在于所述的信号滤波模块[3]由分频器[23]、比较器[24]和算法计算器[25]组成,分频器[23]的输出端Clk与比较器[24]的输入端Clkin连接,比较器[24]的输出端SingalOut1~SingalOut3与算法计算器[25]的输入端Din1~Din3连接;光电隔离模块[2]的输出端SingalA、SingalB和SingalZ与比较器[24]的输入端SingalIn1、SingalIn2和SingalIn3连接,算法计算器[25]的输出端Dout1~Dout3与相位方向识别模块[4]的输入端DphaseIn1~DphaseIn3连接。
4.根据权利要求1所述的基于FPGA的工业大型吊车吊钩位置测量装置,其特征在于所述的相位方向识别模块[4]由触发器[26]和方向识别控制器[27]组成,触发器[26]的输出端DphaseOut1~DphaseOut3与方向识别控制器[27]的输入端Din1~Din3连接;触发器[26]的输入端与信号滤波模块[3]的输出端连接,触发器[26]的输入端En与总控制器总线模块[22]的输出端Entirger连接,方向识别控制器[27]的输出端Dphase1、Dphase2分别与双向计数器模块[6]的输入端Up、Down和总控制器总线模块[22]的输入端Din1、Din2连接,方向识别控制器[27]的输入端En与总控制器总线模块[22]的输出端Endir连接。
5.根据权利要求1所述的基于FPGA的工业大型吊车吊钩位置测量装置,其特征在于所述的显示控制模块[8]由显示译码器[28]和显示驱动器[29]组成,显示译码器[28]的输出端X0~X13与显示驱动器[29]的输入端XL0~XL13端连接;显示驱动器[29]的输出端XA0~XA7、XB0~XB7、XC0~XC7、XD0~XD7与LED显示器[9]的输入端DispA0~DispA7、DispB0~DispB7、DispC0~DispC7、DispD0~DispD7连接,显示译码器[28]的输入端In0~In3、读写信号端Tw、Rw与总控制器总线模块[22]的输出端Ddisp0~Ddisp31、读写信号端Xtw、Xrw连接,显示译码器[28]的输入端Clkin与控制器分频模块[20]的输出端Dispclk连接。
6.根据权利要求1所述的基于FPGA的工业大型吊车吊钩位置测量装置,其特征在于所述的通讯控制模块[10]由波特率发生模块[30]、发送模块[31]和接收模块[32]组成,波特率发生模块[30]的输出端Bdout分别与接收模块[32]的波特率输入端Bdrxd和发送模块[31]的波特率输入端Bdtxd连接;接收模块[32]的输入端Rxd和发送模块[31]的输出端Txd分别与通讯接口[11]的输出端Xrxd和输入端Xtxd连接,接收模块[32]的输出端Dout0~Dout7与总控制器总线模块[22]的输入端Duart0~Duart7连接,发送模块[31]的输入端与总控制器总线模块[22]的输出端Duart8~Duart15连接,波特率发生模块[30]的输入端Clkin与总控制器分频模块[20]的输出端Uartclk连接。
7.根据权利要求1所述的基于FPGA的工业大型吊车吊钩位置测量装置,其特征在于所述的总线模块[12]由时序生成模块[33]和逻辑控制模块[34]组成,逻辑控制模块[34]的输出端Dlout0、Dlout1与时序生成模块[33]的输入端Dl0、Dl1连接;时序生成模块[33]的输出端Scl、双向端Sda与存储模块[13]的输入端Scleep、双向端Sdaeep连接,时序生成器[33]的双向端Bidriect0、Bidriect1与总控制器总线模块[22]的双向端Bidriectcon0、Bidriectcon1连接,逻辑控制模块[34]的输入端Dlin0、Dlin1与总控制器总线模块[22]的输出端Dconl0、Dconl1连接,时序生成器[33]的输入端Clkin与总控制器分频模块[20]的输出端Clk连接。
8.根据权利要求1所述的基于FPGA的工业大型吊车吊钩位置测量装置,其特征在于所述的装载模块[17]由数据转换模块[35]和数据运算模块[36]连接组成,数据转换模块[35]的输入端Dcov0~Dcov7与键盘[18]的输出端Dkey0~Dkey7连接,数据运算模块[36]的输入端Func0、Func1与总控制器总线模块[22]的输出端FuncIn0、FuncIn1连接,数据运算模块[36]的输出端Done0~Done7和Loadata0~Loadata15与总控制器总线模块[22]的输入端Donein0~Donein7和Loadatain0~Loadatain15连接。
CNB2005100195513A 2005-10-08 2005-10-08 一种基于fpga的工业大型吊车吊钩位置测量装置 Expired - Fee Related CN100378433C (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CNB2005100195513A CN100378433C (zh) 2005-10-08 2005-10-08 一种基于fpga的工业大型吊车吊钩位置测量装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CNB2005100195513A CN100378433C (zh) 2005-10-08 2005-10-08 一种基于fpga的工业大型吊车吊钩位置测量装置

Publications (2)

Publication Number Publication Date
CN1746620A CN1746620A (zh) 2006-03-15
CN100378433C true CN100378433C (zh) 2008-04-02

Family

ID=36166254

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100195513A Expired - Fee Related CN100378433C (zh) 2005-10-08 2005-10-08 一种基于fpga的工业大型吊车吊钩位置测量装置

Country Status (1)

Country Link
CN (1) CN100378433C (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100451553C (zh) * 2006-07-28 2009-01-14 武汉科技大学 一种基于fpga的宽电压范围信号分路器
CN203038274U (zh) * 2011-11-22 2013-07-03 北京泛华恒兴科技有限公司 多功能隔离式数据采集卡

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN2114137U (zh) * 1992-01-15 1992-08-26 林喜升 自动计数测长器
DE19540319C1 (de) * 1995-10-28 1997-04-03 Christa Reiners Vorrichtung und Verfahren zum Prüfen der Biegefestigkeit eines Mastes
DE20205038U1 (de) * 2002-03-28 2002-08-22 Voith Siemens Hydro Power Generation GmbH & Co. KG, 89522 Heidenheim Mechanische Spaltmesseinrichtung
CN2685306Y (zh) * 2004-03-25 2005-03-16 马振权 塔式起重机监测仪
CN2839220Y (zh) * 2005-10-08 2006-11-22 武汉科技大学 一种用于实时测量起吊物位置的装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN2114137U (zh) * 1992-01-15 1992-08-26 林喜升 自动计数测长器
DE19540319C1 (de) * 1995-10-28 1997-04-03 Christa Reiners Vorrichtung und Verfahren zum Prüfen der Biegefestigkeit eines Mastes
DE20205038U1 (de) * 2002-03-28 2002-08-22 Voith Siemens Hydro Power Generation GmbH & Co. KG, 89522 Heidenheim Mechanische Spaltmesseinrichtung
CN2685306Y (zh) * 2004-03-25 2005-03-16 马振权 塔式起重机监测仪
CN2839220Y (zh) * 2005-10-08 2006-11-22 武汉科技大学 一种用于实时测量起吊物位置的装置

Also Published As

Publication number Publication date
CN1746620A (zh) 2006-03-15

Similar Documents

Publication Publication Date Title
CN100483473C (zh) 直流合成场测量方法
CN203949716U (zh) 一种数字称重传感器
CN102620794A (zh) 气泡式水位测量仪
CN100378433C (zh) 一种基于fpga的工业大型吊车吊钩位置测量装置
CN202485748U (zh) 气泡式水位测量仪
CN203350433U (zh) 一种快速测定电能表误差的装置
CN103258411A (zh) 一种基于gprs技术的智能水表抄表***
CN204479014U (zh) 容栅尺裂缝计
CN201335718Y (zh) 气泡式水位计
CN202770626U (zh) 齿轮齿条施工升降机防坠安全器用的便携式现场检测装置
CN2839220Y (zh) 一种用于实时测量起吊物位置的装置
CN109634204A (zh) 一种万能材料试验机控制***
CN101271139B (zh) 高精度开关量检测仪及检测方法
CN201289368Y (zh) 一种用于汽车车身及底盘数据测量的装置
CN201503414U (zh) 本质安全型钢丝绳磁探伤仪处理器
CN202472900U (zh) 无线流速测算仪
CN202083559U (zh) 基于无线数据传输的汽车安全环保检测设备检定***
CN201561980U (zh) 基于多重检测的汽车发动机转速测量仪
CN204422016U (zh) 一种智能水位计
CN201302501Y (zh) 用于大坝渗压监测的vw+rtd传感器信号检测仪
CN201130418Y (zh) 一种智能无线位移载荷传感器
CN210071022U (zh) 一种基于超声波和nb-iot的智能远传水表控制电路
CN201063195Y (zh) 直流合成场测量装置
CN203231812U (zh) 便携式振动检测装置
CN102829971B (zh) 齿轮齿条施工升降机防坠安全器用的便携式现场检测装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C19 Lapse of patent right due to non-payment of the annual fee
CF01 Termination of patent right due to non-payment of annual fee