CN100356548C - 通孔活性离子刻蚀方法 - Google Patents

通孔活性离子刻蚀方法 Download PDF

Info

Publication number
CN100356548C
CN100356548C CNB2005101246531A CN200510124653A CN100356548C CN 100356548 C CN100356548 C CN 100356548C CN B2005101246531 A CNB2005101246531 A CN B2005101246531A CN 200510124653 A CN200510124653 A CN 200510124653A CN 100356548 C CN100356548 C CN 100356548C
Authority
CN
China
Prior art keywords
less
tetrafluoromethane
air
flow
cover layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2005101246531A
Other languages
English (en)
Other versions
CN1790667A (zh
Inventor
彼得·比奥尔斯
塞缪尔·S.·乔伊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
IBM China Co Ltd
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1790667A publication Critical patent/CN1790667A/zh
Application granted granted Critical
Publication of CN100356548C publication Critical patent/CN100356548C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种刻蚀位于导体层上的电介质层和覆盖层以产生通向导体的通孔图案的通孔的方法。该方法包括在光致抗蚀剂剥除步骤中提供四氟代甲烷(CF4)。另外,该方面可以在电介质层刻蚀步骤中提供增加量的四氟代甲烷(CF4),和在覆盖层刻蚀步骤中提供三氟甲烷(CHF3)。本发明提供了较高的产率、更可预测的刻蚀速率、更快的加工过程和除去了对灰化步骤的需要。

Description

通孔活性离子刻蚀方法
技术领域
本发明通常涉及半导体制造技术,尤其是涉及通孔活性离子刻蚀方法。
背景技术
在半导体工业,活性离子刻蚀(RIE)用于在半导体芯片中产生(打开)电路路径。使用RIE形成的一种结构是通孔,其电连接不同层中的导体。RIE是等离子(气体)刻蚀的变体,其中半导体晶片放置在射频(RF)激励的电极上,提取刻蚀物并使其从等离子体向要刻蚀表面加速。由此产生化学刻蚀反应,其除去了部分的表面。在半导体制造领域RIE是一种最常用的刻蚀技术。
参照图1,它示出了刻蚀前包括大通孔焊盘电介质层12的半导体结构10。该结构10包括导体层14,其包括围绕导体18(如铜)的电介质层16(如二氧化硅SiO2);位于导体层14上的覆盖层20(如氮化硅Si3N4);电介质层22(如二氧化硅SiO2);又一电介质层24(如氮化硅Si3N4);和构图的光致抗蚀剂层26。典型的大通孔RIE过程在具有两种射频设置(如2MHz和27MHz)的单个等离子室中进行。一种传统的RIE过程包括下面的步骤:刻蚀电介质层24,刻蚀电介质层22和停止于覆盖层20上以不暴露导体18,剥除光致抗蚀剂26、刻蚀覆盖层20以暴露导体18,和最后进行氮氢(N2H2)等离子化学处理(灰化)以从导体18中去除残余RIE聚合物。更特殊地,电介质层22的刻蚀可以进行,如采用下面的条件:80mTorr(mT)的压力、27MHz下1800瓦(W)和2MHz下600W的射频能量,和10标准立方厘米/分钟(sccm)的四氟代甲烷(CF4)、220sccm的一氧化碳(CO)、400sccm的氩气(Ar),带来大约45/s的刻蚀速率。光致抗蚀剂的剥除可以采用,例如,下面的两阶段的条件,包括:800mT的压力、27MHz下800W的射频能量,和1000sccm的氧气(O2),随后是450mT的压力、27MHz下1200W和2MHz下200W的射频能量,和1000sccm的氧气(O2)气流。电介质层20的刻蚀可以进行,例如,采用下面的条件:150mT的压力、2MHz下1000W和27MHz下1500W的射频能量,和100sccm的氧气(O2),190sccm的四氟代甲烷(CF4)和400sccm的氩气(Ar)气流。灰化步骤可以进行,例如,采用下面的条件:200mT的压力、27MHz下1200W的射频能量,和包括600sccm的氮气(N2)和200sccm的氢气(H2)在内的气流。
传统的RIE方法存在许多问题。首先,因为该方法的气流通常集中在质量流量控制器的最小操作范围内,其降低了产率,所以传统的RIE技术具有低刻蚀速率。第二,典型的等离子方法容易受到气流波动的影响,如处理室中或不同设备之间的气流波动,其导致刻蚀速率的大幅变化。最后,随晶片制造设施从传统的200mm晶片到更大的300mm晶片的改动,传统的RIE方法的处理周期被认为太长。例如,大通孔(LV)焊盘是用于最终电气试验中连接晶体管和丝焊的300mm晶片制造的最终层。对于每个晶片,用于LV焊盘的通孔RIE方法通常花费大约5分钟,这使得其成为改进的目标。
参照前述内容,在本领域需要不忍受上述问题的改进的通孔RIE方法。
发明内容
本发明包括刻蚀位于导体层上的电介质层和覆盖层以打开(产生)通向导体的通孔的方法。该方法包括在光致抗蚀剂剥除步骤中提供四氟代甲烷(CF4)。另外,该方法可以在电介质层刻蚀步骤中提供增强量的四氟代甲烷(CF4),和在覆盖层刻蚀步骤中提供三氟甲烷(CHF3)。本发明提供了更高的产率、更可预测的刻蚀速率、更快的加工过程和除去了对灰化阶段的需要。
本发明的第一方面指刻蚀位于导体层上的电介质层和覆盖层以产生通向导体的通孔的方法,用于所述通孔的图案由光致抗蚀剂提供,该方法包括步骤:刻蚀该通孔使其穿透电介质层;使用包括四氟代甲烷(CF4)的等离子化学方法剥除光致抗蚀剂;和刻蚀覆盖层以产生通向导体的通孔。其中,所述电介质层刻蚀步骤包括使用80mT的压力、在27MHz、1200W和在2MHz、2700W的射频能量、包括四氟代甲烷(CF4)和一氧化碳(CO)的气流,其中四氟代甲烷和一氧化碳的气流比率不小于0.104且不大于0.2。
本发明的第二方面包括刻蚀位于导体层上的电介质层和覆盖层以产生通向导体的通孔的方法,用于所述通孔的图案由光致抗蚀剂提供,该方法包括步骤:刻蚀该通孔使其穿透电介质层;使用包括四氟代甲烷(CF4)的等离子化学方法剥除光致抗蚀剂;和刻蚀覆盖层以产生通向导体的通孔。其中,所述光致抗蚀剂剥除步骤包括两个阶段,包括:第一阶段,使用120mT的压力、在27MHz、1000W与在2MHz、200W的射频能量和包括氧气(O2)的气流;和第二阶段,使用400mT的压力、在2MHz、1600W的射频能量和包括四氟代甲烷(CF4)和氧气(O2)的气流,其中四氟代甲烷和氧气的气流比率为不小于0.006且不大于0.016。
本发明的第三方面涉及刻蚀位于导体层上的电介质层和覆盖层以产生通向导体的通孔的方法,用于所述通孔的图案由光致抗蚀剂提供,该方法包括步骤:刻蚀该通孔使其穿透电介质层,其中使用大约80mT的压力、在27MHz约1200W和在2MHz约2700W的射频能量、包括四氟代甲烷(CF4)与一氧化碳(CO)并且其气流比率为不小于约0.104且不大于约0.2的气流;使用包括四氟代甲烷(CF4)的等离子化学方法剥除光致抗蚀剂,其中使用不小于约7sccm且不大于约15sccm的四氟代甲烷(CF4)气流;和刻蚀覆盖层以产生通向导体的通孔,其中使用约150mT的压力、在2MHz约1000W和在27MHz约1500W的射频能量、包括四氟代甲烷(CF4)和三氟甲烷(CHF3)的气流,其中四氟代甲烷和三氟甲烷的气流比率为不小于约2.33且不大于约3.96。
本发明的第四方面涉及刻蚀位于导体层上的第一电介质层、第二电介质层和覆盖层以产生通向导体的通孔的方法,用于所述通孔的图案由光致抗蚀剂提供,该方法包括步骤:刻蚀该通孔使其穿透第一电介质层;刻蚀该通孔使其穿透第二电介质层;使用包括四氟代甲烷(CF4)的等离子化学方法剥除光致抗蚀剂;和刻蚀覆盖层以产生通向导体的通孔。
本发明前面的和其它的特征将从下面更加特定的本发明实施例描述中变得更明显。
附图说明
本发明的实施例将参照下面的附图被详细地描述,其中相同的标号表示相同的组成部分,其中:
图1显示了传统的半导体结构,其在刻蚀前包括大通孔焊盘电介质层。
图2-5显示了根据本发明刻蚀该通孔的方法。
图6显示了一种半导体结构,其图解了本发明解决的一些问题。
具体实施方式
参照附图,图2-5显示了根据本发明在导体层上面刻蚀电介质层和覆盖层以产生通向导体的通孔的方法。该方法改变了传统的方法,以致于该方法提高了成品率、带来更可预测的速度和极大地减少了加工时间。该方法开始涉及包含大通孔焊盘电介质层12的传统半导体结构10,类似于图1所示结构。该结构10包括导体层14,该导体层14包括围绕导体18(如,铜导体)的电介质层16(如,二氧化硅SiO2或任何其它适合的电介质材料);位于导体层14上面的覆盖层20;电介质层22;又一个电介质层24(如氮化硅Si3N4或任何其它电介质材料);和构图的光致抗蚀剂层26。构图的光致抗蚀剂层26包括要形成的通孔的图案(开孔)。电介质层22可以包括任何二氧化硅(SiO2)型材料,如可由Novellus得到的CORALTM氢化碳氧化硅(SiCOH)、原硅酸四乙酯(Si(OC2H5)4(TEOS))、氟掺杂的TEOS(FTEOS)、氟掺杂的硅酸盐玻璃(FSG)、未掺杂的硅酸盐玻璃(USG)、硼磷硅酸盐玻璃(BPSG)等。覆盖层20可以包括任何典型的覆盖材料,如高浓度等离子(HDP)氮化硅、紫外光可透过的氮化硅(UVN)、碳化硅(SiC)等。
该方法的初始步骤包括,如图2所示,穿透电介质层24(如氮化硅)的刻蚀。由于所用的刻蚀条件100可以是任何传统的方法,此步骤在所有情况下都没有被视作本发明的组成部分。
接下来,如图3所示,刻蚀通孔以穿透电介质层22。在一个实施例中,刻蚀配方104包括使用大约80毫托(mT)的压力和在27MHz时大约1200瓦(W)与在2MHz时大约2700瓦的射频(RF)能量,与传统方法比较其增加了射频能量。用于此实施例的气流包括四氟代甲烷(CF4)和一氧化碳(CO),其气流比率为约0.104-0.200,优选为大约0.136。四氟代甲烷(CF4)(也称作四氟化碳)是一种刻蚀几乎所有电介质的刻蚀剂,并且可以获得,例如来自Dupont的Freon14。在一个实施例中,气流包括大约25-40sccm的四氟代甲烷(CF4)(优选为大约30sccm),和大约200-240sccm的一氧化碳(CO)(优选为大约220sccm)。另外,气流包括大约400sccm的氩(Ar)。由于提高了四氟化碳(CF4)的量,该刻蚀配方102提供了比传统方法快两倍多的刻蚀速度(即,大约95埃/秒(/s))。另外,该刻蚀配方102对覆盖层20是高度选择性的,并且与传统方法相比不会引起刻蚀形貌的变化。
参照图4,下面的步骤包括使用包含四氟代甲烷(CF4)的等离子化学处理102剥除光致抗蚀剂,其不在传统的剥蚀方法中使用。在一个实施例中,光致抗蚀剂剥除方法包括两个阶段。第一阶段使用大约120mT的压力和在27MHz大约1000W与在2MHz大约200W的射频能量。在一个实施例中,在第一阶段使用大约900-1100sccm氧气(O2)(最好约1000sccm)的气流。第二阶段使用大约400mT的压力,和在2MHz大约1600W的射频能量。第二阶段的气流包括四氟代甲烷(CF4)和氧气(O2),其气流比率为约0.006-0.016,优选为大约0.010。在第二阶段,可以提供大约7-15sccm(优选为大约10sccm)的四氟代甲烷(CF4),和大约900-1100sccm(优选为大约1000sccm)的氧气(O2)。
根据本发明的光致抗蚀剂剥除步骤增加了四氟代甲烷(CF4)气体以去除在电介质刻蚀100(图3)过程中所用高射频能量遗留下来的光致抗蚀剂聚合物134(图6)。但是,与预期相反,添加四氟代甲烷(CF4)没有充分地刻蚀覆盖层20而引起裸露导体18,并且没有影响刻蚀形貌。尤其是,低气流和持续时间提供足够的四氟代甲烷(CF4)以干净地剥除硬化的光致抗蚀剂聚合物134(图6)并使得对覆盖层20的刻蚀最小。然而,尤其重要的是,添加四氟代甲烷(CF4)消减了刻蚀时间,达传统方法所用时间的一半,其极大地提高了通孔RIE方法的整体速度。尤其,此阶段可以持续大约10-15秒,相比典型地持续20-30秒的传统方法极大地缩短了时间。使用四氟代甲烷(CF4)的另一个优点是,它去除氧气(O2)刻蚀(图3)制造的残余聚合物,并且在光致抗蚀剂剥除后保留干净的覆盖层20。如图6所示,以如此高射频能量的氧气(O2)刻蚀倾向于留存比传统RIE方法更硬的光致抗蚀剂26(图3),传统方法引起增多的残留光致抗蚀剂134,如混合氧的碳。但是,四氟代甲烷(CF4)去除了此残留聚合物。
参照图5,下面的步骤包括刻蚀覆盖层20以产生通向导体18的通孔。在一个实施例中,覆盖层刻蚀步骤包括刻蚀配方106,它包括使用大约150mT的压力和在2MHz大约1000W与在27MHz大约1500W的射频能量。气流包括四氟代甲烷(CF4)和三氟甲烷(CF3),其气流比率为约2.33-3.96。三氟甲烷(CF3)(也称作氟仿)可以得到,如来自Dupont的Freon23。在此步骤中添加三氟甲烷(CF3)以提高侧壁形貌条纹,这会带来较高的接触电阻。在一个实施例中,气流包括大约80-110sccm的氧气(O2)(优选为大约100sccm),大约170-210sccm的四氟代甲烷(CF4)(优选为大约190sccm),和大约53-73sccm的三氟甲烷(CHF3)(优选为大约63sccm)。气流也包括大约400sccm的氩气(Ar)。
进一步描述覆盖层刻蚀步骤,对于某些类型的通孔,覆盖层20的厚度可以比其它通孔焊盘电介质叠层中的覆盖层厚度更厚。例如,上述数值最适合于具有大约800-1200厚度,优选为1000的覆盖层20,例如氮化硅的覆盖层。但是,更厚的覆盖层120,例如氮化硅的覆盖层,如图6所示,受到底切130和金属氧化132,上述底切和金属氧化在使用上述的氧气量时会导致更高的接触电阻。为了解决这种情况,在一个替代的实施例中,气流包括上述一样的气体和速率,但氧气量被减少大约10倍,这样会阻止底切。在一个实施例中,氧气量为大约7-13sccm,和优选为大约10sccm。已经发现这个数量的氧气足够用于具有厚度为大约2500-3500,尤其是大约3000的覆盖层120(图6),例如氮化硅的覆盖层。特殊地,此特定的氧气不会带来侧面的底切130(图6),并引起最小的金属氧化134(图6),且不会损害刻蚀时间。但是,进一步降低氧气量,会导致刻蚀速率的急剧下降。
上述方法通过消除对传统的通孔RIE刻蚀中的氮氢等离子化学处理(灰化)步骤的需要也减少了刻蚀时间。对于每个晶片来说,这就节省了大约45秒。本发明也获得了所需的壁面轮廓角度,使得电介质层22的刻蚀化学处理104对覆盖层20有高选择性,并使得金属18的表面最小氧化。本发明可以被应用到任何这样的大通孔焊盘,即对覆盖层20需要高刻蚀选择性、最小的覆盖层20的底切和减少的金属氧化。本发明提供更高的成品率、更可预测的刻蚀速度和更快的处理以及去除了对灰化处理的需要。
下表总结了用于一个优选实施例中的RIE刻蚀参数:
    电介质层22   光致抗蚀剂阶段1   光致抗蚀剂阶段2     覆盖层20(~1000)     覆盖层20(~3000)
 压力(mT)     80   120   400     150     150
 RF能量(w)27MHz2MHz 12002700 1000200 01600 15001000 15001000
 气流(sccm)CF4CHF3COO2Ar 25-400200-2400400 000900-11000 7-1500900-11000 170-21053-73080-110400 170-21053-7307-13400
 气流比率:CF4/COCF4/O2CF4/CHF3 0.104-0.200-- --- -0.006-0.016- 2.33-3.96 2.33-3.96
尽管结合上述特定的实施例描述了本发明,显然许多替换、修正和变异对所属领域的技术人员是显而易见的。因此,上面所阐述的本发明的实施例计划为解释性的而非限定性的。可以进行各种改变而没有脱离下面权利要求书所界定的本发明的精神和保护范围。

Claims (16)

1、一种刻蚀位于导体层上的电介质层和覆盖层以产生通向导体的通孔的方法,用于所述通孔的图案由光致抗蚀剂提供,该方法包括步骤:
刻蚀所述通孔使其穿透所述电介质层;
使用包括四氟代甲烷(CF4)的等离子化学方法剥除所述光致抗蚀剂;和
刻蚀所述覆盖层以产生通向所述导体的通孔;
其中,所述电介质层刻蚀步骤包括使用80mT的压力、在27MHz、1200W和在2MHz、2700W的射频能量、包括四氟代甲烷(CF4)和一氧化碳(CO)的气流,其中四氟代甲烷和一氧化碳的气流比率不小于0.104且不大于0.2。
2、如权利要求1的方法,其中在所述电介质层刻蚀步骤中气流包括不小于25且不大于40sccm的四氟代甲烷(CF4)、不小于200且不大于240sccm的一氧化碳(CO)和400sccm的氩气(Ar)。
3、如权利要求1的方法,其中所述电介质层刻蚀步骤具有95/s的刻蚀速率。
4、如权利要求1的方法,其中所述光致抗蚀剂剥除步骤包括使用不小于7sccm且不大于15sccm的四氟代甲烷(CF4)气流。
5、一种刻蚀位于导体层上的电介质层和覆盖层以产生通向导体的通孔的方法,用于所述通孔的图案由光致抗蚀剂提供,该方法包括步骤:
刻蚀通孔使其穿透所述电介质层;
使用包括四氟代甲烷(CF4)的等离子化学方法剥除所述光致抗蚀剂;和
刻蚀所述覆盖层以产生通向所述导体的通孔;其中,所述光致抗蚀剂剥除步骤包括两个阶段,包括:
第一阶段,使用120mT的压力、在27MHz、1000W与在2MHz、200W的射频能量和包括氧气(O2)的气流;和
第二阶段,使用400mT的压力、在2MHz、1600W的射频能量和包括四氟代甲烷(CF4)和氧气(O2)的气流,其中四氟代甲烷和氧气的气流比率为不小于0.006且不大于0.016。
6、如权利要求5的方法,其中第一阶段的气流包括不小于900sccm且不大于1100sccm的氧气。
7、如权利要求5的方法,其中第二阶段的气流包括不小于7sccm且不大于15sccm的四氟代甲烷(CF4),和不小于900sccm且不大于1100sccm的氧气(O2)。
8、如权利要求5的方法,其中所述覆盖层刻蚀步骤包括使用150mT的压力、在2MHz、1000W与在27MHz、1500W的射频能量,和包括四氟代甲烷(CF4)和三氟甲烷(CHF3)的气流,其中四氟代甲烷和三氟甲烷的气流比率为不小于2.33且不大于3.96。
9、如权利要求8的方法,其中,如果覆盖层具有不小于800且不大于1200的厚度,所述覆盖层刻蚀步骤的气流包括不小于80sccm且不大于110sccm的氧气(O2)、不小于170sccm且不大于210sccm的四氟代甲烷(CF4)、不小于53sccm且不大于73sccm的三氟甲烷(CHF3),和400sccm的氩气(Ar)。
10、如权利要求8的方法,其中,如果覆盖层具有不小于2500且不大于3500的厚度,所述覆盖层刻蚀步骤的气流包括不小于7sccm且不大于13sccm的氧气(O2)、不小于170sccm且不大于210sccm的四氟代甲烷(CF4)、不小于53sccm且不大于73sccm的三氟甲烷(CHF3),和400sccm的氩气(Ar)。
11、一种刻蚀位于导体层上的电介质层和覆盖层以产生通向导体的通孔的方法,用于所述通孔的图案由光致抗蚀剂提供,该方法包括步骤:
刻蚀通孔使其穿透所述电介质层,其中使用80mT的压力、在27MHz、1200W和在2MHz、2700W的射频能量、包括四氟代甲烷(CF4)和一氧化碳(CO)的气流,其中四氟代甲烷和一氧化碳的气流比率不小于0.104且不大于0.2;
使用包括四氟代甲烷(CF4)的等离子化学方法剥除所述光致抗蚀剂,其中使用不小于7sccm且不大于15sccm的四氟代甲烷(CF4)气流;和
刻蚀所述覆盖层以产生通向导体的通孔,其中使用150mT的压力、在2MHz、1000W与在27MHz、1500W的射频能量,和包括四氟代甲烷(CF4)和三氟甲烷(CF3)的气流,四氟代甲烷和三氟甲烷的气流比率为不小于2.33且不大于3.96。
12、如权利要求11的方法,其中在电介质层刻蚀步骤中气流包括不小于25且不大于40sccm的四氟代甲烷(CF4)、不小于200且不大于240sccm的一氧化碳(CO)和400sccm的氩气(Ar)。
13、如权利要求11的方法,其中所述光致抗蚀剂剥除步骤包括两个阶段,包括:
第一阶段,使用120mT的压力、在27MHz、1000W与在2MHz、200W的射频能量和不小于900sccm且不大于1100sccm的氧气(O2)的气流;和
第二阶段,使用400mT的压力、在2MHz、1600W的射频能量和包括四氟代甲烷(CF4)和氧气(O2)的气流,其中四氟代甲烷和氧气的气流比率为不小于0.006且不大于0.016。
14、如权利要求13的方法,其中第二阶段的气流包括不小于900sccm且不大于1100sccm的氧气(O2)。
15、如权利要求11的方法,其中,如果覆盖层具有不小于800且不大于1200的厚度,所述覆盖层刻蚀步骤的气流包括不小于80sccm且不大于110sccm的氧气(O2)、不小于170sccm且不大于210sccm的四氟代甲烷(CF4)、不小于53sccm且不大于73sccm的三氟甲烷(CF3),和400sccm的氩气(Ar)。
16、如权利要求11的方法,其中,如果覆盖层具有不小于2500且不大于3500的厚度,所述覆盖层刻蚀步骤的气流包括不小于7sccm且不大于13sccm的氧气(O2)、不小于170sccm且不大于210sccm的四氟代甲烷(CF4)、不小于53sccm且不大于73sccm的三氟甲烷(CF3),和400sccm的氩气(Ar)。
CNB2005101246531A 2004-11-15 2005-11-14 通孔活性离子刻蚀方法 Expired - Fee Related CN100356548C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/904,533 2004-11-15
US10/904,533 US7045464B1 (en) 2004-11-15 2004-11-15 Via reactive ion etching process

Publications (2)

Publication Number Publication Date
CN1790667A CN1790667A (zh) 2006-06-21
CN100356548C true CN100356548C (zh) 2007-12-19

Family

ID=36318084

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005101246531A Expired - Fee Related CN100356548C (zh) 2004-11-15 2005-11-14 通孔活性离子刻蚀方法

Country Status (2)

Country Link
US (1) US7045464B1 (zh)
CN (1) CN100356548C (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100617056B1 (ko) * 2004-12-30 2006-08-30 동부일렉트로닉스 주식회사 비어 식각시 어텍을 방지하는 방법
US7510965B2 (en) * 2006-11-30 2009-03-31 United Microelectronics Corp. Method for fabricating a dual damascene structure
US7470616B1 (en) 2008-05-15 2008-12-30 International Business Machines Corporation Damascene wiring fabrication methods incorporating dielectric cap etch process with hard mask retention
CN103107090B (zh) * 2011-11-14 2016-04-06 中芯国际集成电路制造(上海)有限公司 制造半导体器件的方法
CN103681462B (zh) * 2012-09-12 2017-08-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US10535566B2 (en) 2016-04-28 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6376384B1 (en) * 2000-04-24 2002-04-23 Vanguard International Semiconductor Corporation Multiple etch contact etching method incorporating post contact etch etching
CN1433062A (zh) * 2002-01-10 2003-07-30 联华电子股份有限公司 在低介电常数材料层中形成开口的方法
US20030203631A1 (en) * 1999-12-21 2003-10-30 Nec Corporation Method of etching silicon nitride film and method of producing semiconductor device

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5302240A (en) * 1991-01-22 1994-04-12 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US5221424A (en) * 1991-11-21 1993-06-22 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corosion-forming materials remaining from previous metal etch
US6325861B1 (en) * 1998-09-18 2001-12-04 Applied Materials, Inc. Method for etching and cleaning a substrate
US6838300B2 (en) * 2003-02-04 2005-01-04 Texas Instruments Incorporated Chemical treatment of low-k dielectric films
US7115517B2 (en) * 2003-04-07 2006-10-03 Applied Materials, Inc. Method of fabricating a dual damascene interconnect structure
US20050059234A1 (en) * 2003-09-16 2005-03-17 Applied Materials, Inc. Method of fabricating a dual damascene interconnect structure
KR100670662B1 (ko) * 2003-11-28 2007-01-17 주식회사 하이닉스반도체 반도체소자 제조 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030203631A1 (en) * 1999-12-21 2003-10-30 Nec Corporation Method of etching silicon nitride film and method of producing semiconductor device
US6376384B1 (en) * 2000-04-24 2002-04-23 Vanguard International Semiconductor Corporation Multiple etch contact etching method incorporating post contact etch etching
CN1433062A (zh) * 2002-01-10 2003-07-30 联华电子股份有限公司 在低介电常数材料层中形成开口的方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
半导体制造技术 Michael Quirk,Julian Serda,433.434,电子工业出版社 2004 *

Also Published As

Publication number Publication date
US20060105572A1 (en) 2006-05-18
CN1790667A (zh) 2006-06-21
US7045464B1 (en) 2006-05-16

Similar Documents

Publication Publication Date Title
US9514953B2 (en) Methods for barrier layer removal
US6451703B1 (en) Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US8591661B2 (en) Low damage photoresist strip method for low-K dielectrics
EP1042796B1 (en) Improved techniques for etching an oxide layer
EP3038142A1 (en) Selective nitride etch
US8058178B1 (en) Photoresist strip method for low-k dielectrics
US7202176B1 (en) Enhanced stripping of low-k films using downstream gas mixing
JP4825911B2 (ja) 介在チャンバでの脱フッ素化及びウェハ脱フッ素化ステップによるプラズマエッチング及びフォトレジストストリッププロセス
CN100356548C (zh) 通孔活性离子刻蚀方法
JP2007537602A (ja) フルオロカーボン化学エッチングにおけるh2添加物を使用しての炭素ドープ酸化ケイ素エッチング
WO2006073622A2 (en) Low-pressure removal of photoresist and etch residue
CN101238551A (zh) 用于低k刻蚀后的无损灰化工艺和***
US7276450B2 (en) Etching processes using C4F8 for silicon dioxide and CF4 for titanium nitride
JP4852213B2 (ja) 高選択性のsacのエッチングの方法
KR20030087637A (ko) 유기계 절연막의 에칭 방법 및 이중 상감 방법
KR20060063714A (ko) 높은 소스 및 낮은 충격 플라즈마를 이용하여 고에칭율을제공하는 유전체 에칭 방법
WO1999021218A1 (en) Self-aligned contact etch using difluoromethane and trifluoromethane
US6394104B1 (en) Method of controlling and improving SOG etchback etcher
JPH10150019A (ja) フォトレジスト選択性を向上し重合体密着性を改善するためのプラズマ反応処理法
WO2003023841A1 (en) Flash step preparatory to dielectric etch
US6743725B1 (en) High selectivity SiC etch in integrated circuit fabrication
TWI489541B (zh) 在導電線路間移除介電材料的方法
US20050239290A1 (en) Trench photolithography rework for removal of photoresist residue
Hsu et al. Eliminating undercut profile of through silicon via by using nitrided fluorocarbon passivation in rapid alternating process
KR20040018778A (ko) 반도체 소자 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: IBM (CHINA) CO., LTD.

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORP.

Effective date: 20101101

C41 Transfer of patent application or patent right or utility model
COR Change of bibliographic data

Free format text: CORRECT: ADDRESS; FROM: NEW YORK, UNITED STATES TO: 201203 7/F, BUILDING 10, ZHANGJIANG INNOVATION PARK, NO.399, KEYUAN ROAD, ZHANGJIANG HIGH-TECH PARK, PUDONG NEW DISTRICT, SHANGHAI, CHINA

TR01 Transfer of patent right

Effective date of registration: 20101101

Address after: 201203 Chinese Shanghai Pudong New Area Zhang Jiang high tech Park Keyuan Road No. 399 Zhang Jiang Innovation Park Building No. 10 7 floor

Patentee after: International Business Machines (China) Co., Ltd.

Address before: American New York

Patentee before: International Business Machines Corp.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20071219

Termination date: 20171114