CH658751A5 - Vorrichtung zum aetzen von werkstuecken. - Google Patents

Vorrichtung zum aetzen von werkstuecken. Download PDF

Info

Publication number
CH658751A5
CH658751A5 CH4426/85A CH442685A CH658751A5 CH 658751 A5 CH658751 A5 CH 658751A5 CH 4426/85 A CH4426/85 A CH 4426/85A CH 442685 A CH442685 A CH 442685A CH 658751 A5 CH658751 A5 CH 658751A5
Authority
CH
Switzerland
Prior art keywords
electrodes
plasma
upper electrodes
electrode
workpieces
Prior art date
Application number
CH4426/85A
Other languages
English (en)
Inventor
Joseph A Jun Maher
Arthur W Zafiropoulo
Original Assignee
Drytek Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Drytek Inc filed Critical Drytek Inc
Publication of CH658751A5 publication Critical patent/CH658751A5/de

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Description

Die vorliegende Erfindung betrifft eine Vorrichtung zum Ätzen von Werkstücken mittels Gasplasma.
Als die elektronischen Geräte und Schaltkreise in den Abmessungen reduziert und in zahlreichen komplizierten » Ausführungen mit sehr hoher Präzision in grossen Stückzahlen hergestellt werden musste, wurde die Dünnschicht-und Mikroline-Technology sowie deren automatische Funktion dementsprechend schwieriger. Es ist z.B. bekannt, dass sehr dünne Materialschichten aufgebracht und ausgelegt 35 werden können, um mikroskopisch kleine Schaltkreisdetails, Miniaturhalbleiterelemente, elektrische Anschlüsse, Iolie-rungen oder Durchlässe herzustellen. Die Grundverfahren hierzu, wie photographische Verkleinerung und Vervielfachung solcher Details und die Abdeckung, Alterungsdekors 40 und die Reaktion mit den eingeschlossenen Materialien werden bekanntlich seit einiger Zeit allgemein angewendet, jedoch haben der Bedarf an höherer Leitungsdichte mit engeren Grenzen und kleineren Abmessungen im verstärkten Masse zur Anwendung der Trockenreaktionsver-45 fahren geführt, welche alternativ attraktive Aussichten, derartig hohe Anforderungen zu erfüllen, geben. Anders als Nassverfahren, bei dem Bäder oder Sprays ein flüssiges Medium abgeben, mit welchen Ablagerungen oder chemische Änderungen oder Ätzungen vorgesehen werden so können, werden bekanntlich vergleichbare Trockenverfahren in einer bestimmten gasförmigen Atmosphäre ausgeführt, die durch anliegende Ionisationen und Glimmentladungen verursachende Elektrizität aktiviert wird. DieTrok-kenätzung kann z.B. die Ionenbombardierung einschliessen, 55 bei dem in einem Hochfrequenz-Plasma erzeugte träge Ionen beschleunigt werden, um auf ein Werkstück aufzuschlagen und Atome aus diesem zu verdrängen oder im Falle der chemischen Plasmaätzung kann die Hochfrequenz-Erregung ein normales Schutzgas zu einem selektiv-reaktiven Plasma 60 umwandeln, das in die nicht abgedeckten Werkstückflächen diffundiert und eine chemische Ätzübertragung entwickelt. Plasmaätzer eines sogenannten «planaren» Aufbaues werden zur Zeit in vielen Ätzvorrichtungen bevorzugt. Diese enthalten parallele Plattenelektroden, auf welche die Halb-65 leiterscheiben direkt aufgelegt sind. Die Halteplatte wird zu einer Anode gemacht, wenn die chemische Ätzung überwiegt, und zu einer Kathode gemacht, wenn ein physikalisches Verfahren oder das unter dem Namen RIE bekannte
3
658 751
Verfahren überwiegt. Sind die Halbleiterscheiben relativ gross, ist deren Herstellung zur Zeit relativ langsam und teuer in solchen planaren Ätzvorrichtungen. Werden die Halbleiterscheiben zwischen den grossen Bereichelektroden als Satz zusammengefasst, ist es schwierig, Betriebszustände. zu schaffen, welche sicherstellen, dass alle gleichmässig und gleichzeitig verarbeitet werden.
Die peinlichste Isolation gegen Verunreinigungen ist bei solchen Verfahren sehr wichtig, weil bereits sehr wenige Partikel oder kleinste Ablagerungen die feinen und verzweigten Schaltkreise und Geräte zerstören können. Es sind daher sehr hohe Kosten für die Reinhaltung der Luft erforderlich, um solche Isolationsbedingungen sicherzustellen, die durch das Plasmatrockenverfahren und deren Ausrüstung gefördert werden. Aus Gründen der Wirtschaftlichkeit und der Qualitätskontrolle sind ein hoher Automatisationsgrad und eine Produktion in hohen Stückzahlen vorrangige Ziele und es ist ferner von Vorteil, wenn Standardkassetten, in denen die Halbleiterscheiben innerhalb der einzelnen Stufen gemeinsam bearbeitet werden, auch beim Plasmatrockenverfahren verwendet werden können. Ausserdem ist es besonders erwünscht, dass die elektrischen und mechanischen Eigenschaften der Ätzung zur zuverlässigen Herstellung von Produkten gleichmässiger Genauigkeit beitragen und dass sie entweder das bekannte chemische Ätzverfahren oder das RIE-Verfahren zulassen.
Unter den bekannten Verfahren, welche im Zusammenhang mit den Gasplasmareaktoren beschrieben wurden, ist jenes, das ein Paar planer, horizontaler Elektroden aufweist, die innerhalb eines Gehäuses angeordnet und von einer Atmosphäre, wie Tetrafluorkohlenstoffgas umgeben sind und durch ein Hochfrequenzsignal erregt werden, um einen Plasmaausstoss zu erzeugen, der die Oberflächenschicht auf einer Silizium-Halbleiterscheibe ätzen wird (z.B. US-PS Nr. 4 222 838). Eine Mehrzahl vertikal angeordneter Elektrodenpaare gleicher Polarität sind in der Gasplasmaätzvorrich-tung gemäss US-PS Nr. 4 282 077 zusammen mit den elektrischen Steuerungen dargestellt. Die Kühlung der Elektroden zur Verbesserung der Gleichmässigkeit wurde in der US-PS Nr. 4 275 289 beschrieben. Eine Vorrichtung zur Automatisierung der Halbleiterscheibenförderung bei der Herstellung wurde in der US-PS Nr. 4 062 463 offenbart.
Ziel der Erfindung ist es eine Plasmaätzvorrichtung zu schaffen, die einen kleinen Raumbedarf und eine hohe Ausbeute an genau geätzten Halbleiterscheiben hat, wobei die Halbleiterscheiben entweder mit dem konventionellen Verfahren oder dem RIE-Verfahren behandelt werden können.
Dieses Ziel wird erfindungsgemäss mit den kennzeichnenden Merkmalen des Patentanspruches 1 gelöst.
Im folgenden wird die Erfindung anhand der beiliegenden Zeichnungen näher erläutert. Es zeigen:
Fig. 1 eine räumliche Ansicht von Hauptteilen einer Ätzvorrichtung mit einer Mehrzahl von Elektroden, wobei gewisse Teile weggelassen sind, um Details des Aufbaues zu zeigen,
Fig. 2 eine andere Ansicht eines Teils der beweglichen Unterbaugruppe mit gestapelten Elektroden, die in der Vorrichtung nach Fig. 1 vorgesehen sind, zusammen mit Massangaben und Angaben über Bewegungen derselben bezüglich einem zugeordneten Halbleiterscheibenfördermechanismus,
Fig. 3 die Ansicht der Unterbaugruppe von der Rückseite, aus der die Kühlmittel-und Elektroleitungen klarer ersichtlich sind,
Fig. 4 eine teilweise schematische und teilweise bildhafte Darstellung der Unterbaugruppe zusammen mit den tatsächlichen Potentialbezeichnungen und -kennzeichnungen des Plasmaausstosses,
Fig. 5 eine Unterbaugruppe und die davon abhängigen Erregungsverhältnisse, einschliesslich den unmittelbar ein-s geschichteten einzelnen Elektroden,
Fig. 6 eine auseinandergezogen und teilweise gebrochen dargestellte Ansicht eines Elektrodenpaares zwischen denen ein Isolierteil angeordnet ist, um eine Mehrfach-Elektroden-einheit zu bilden, aus welchen die verbesserten Unterbau-10 gruppen, wie jene von Fig. 1-4, aufgebaut sind,
Fig. 7 eine Draufsicht auf die in Fig. 6 dargestellte Einheit, Fig. 8 Ansichten des rechten Endes der in Fig. 7 dargestellten Elektroden, und
Fig. 9 eine schematische Darstellung einer bevorzugten 15 Anordnung zur elektrischen Erregung der verbesserten, aus Mehrfach-Elektroden bestehenden Unterbaugruppe.
Fig. 1 zeigt ein Ausführungsbeispiel einer verbesserten Gasplasma-Trockenätzvorrichtung 10, die ein steifes Gestell 20 11 aufweist, an dem die Elemente einer Förderstation 12 für Halbleiterscheibenkassetten und ein Hebemechanismus 13 für Mehrfach-Elektroden montiert sind. Der Hebemechanismus dient zum Heben und Senken einer übereinander gestapelten Anordnung von im wesentlichen ebener paar-25 weise zugeordneten Elektrodeneinheiten, welche die Plasma-ausstossregionen definieren, innerhalb welcher sich eine bekannte glockengefässartige Vakuumkammer (nicht dargestellt) befindet. Eine stabile, mit Rippen versehene Kassette 14, die für das kompakte Übereinanderstapeln einer Mehr-30 zahl von horizontalen, flachen, kreisförmigen Halbleiterscheiben 15 ausgelegt ist, ermöglicht den Chargentransport und Verarbeitung derartiger verletzbarer Werkstücke ohne Verunreinigung oder Verletzung wegen eines Bedienungsfehlers und ist oben an einer Hebeplattform 16 angeordnet, die 35 durch eine durch einen geeigneten Motor 18 an der Förderstation 12 angetriebene Gewindespindel 17 an Führungsstäben vertikal verschiebbar ist. Die mit Halbleiterscheiben bestückte Kassette führt eine Anzahl von Halbleiterscheiben 15 zu, die jeweils eine Oberfläche und Bereiche haben, in 40 denen jeweils eine Vielzahl von teilbehandelten Mikroschalt-kreisen und/oder Halbleiter oder ähnliches vorgesehen ist, die durch Einwirkung von Gasplasma weiterzubehandeln sind, z.B. um die Räume zwischen dem feinen elektrisch leitenden Leiternetz durch Ionenbombardierung oder 45 chemische Ätzung oder beidem zu behandeln. Derartige Plasma werden jeweils für eine Charge bis zu sechs Halbleiterscheiben in dem gezeigten Mehrfachelektrodenmecha-nismus 13 erzeugt, wo sechs Gasausstösse oder Plasmaregionen 13a— 13f jeweils zwischen den Elektrodeneinheiten so 19-25 vorgesehen sind. Jene Elektrodeneinheiten, welche eine aufwärts weisende Oberfläche an der Basis der Plasmaregionen aufweisen, sind wie gezeigt vorzugsweise nach unten hin leicht kreisförmig ausgenommen, um die dünnen kreisförmigen Halbleiterscheiben im wesentlichen mit deren 55 Randbereichen fluchtend aufzunehmen und von der Seite der Förderstation her quer nach einwärts ausgenommen, um die Spitze eines hin- und herbewegbaren Förderhebels 26 aufzunehmen, der zur Förderung der Halbleiterscheiben zwischen der Kassette 14 und den Plasmaregionen dient. Die 60 Förderstation 12 ist ähnlich wie der in der US-PS
Nr. 4 062 463 beschriebene Kassettenlademechanismus ausgebildet und enthält einen geführten, verschiebbaren Halter 27, an dem der Förderhebel 26 befestigt ist und welcher durch eine Kolbenstange 28 vor- und zurückbewegt wird. Die 65 Kolbenstange 28 wird durch einen nicht dargestellten Kolben betätigt.
Bei Anwendung von Schaltern und Steuerungen, wie sie in der US-PS 4 062 463 ausführlich beschrieben sind, kann die
658751
4
Förderstation eine Halbleiterscheibe, durch die im Gleichge-wichihaltung ihres Hebels in einer vollständig zurückgezogenen Stellung aus der Kassette herauszunehmen, wobei in der zurückgezogenen Stellung die Spitze unter der Halbleiterscheibe liegt und durch die unterste Halbleiterscheibe in der Kassette 14 erfasst ist, wenn die Kassette durch die Hebeplattform 16 abgesenkt wird. Ein pneumatischer Fühler an der Förderhebelspitze tastet das Erfassen ab und stoppt dann die Absenkung der Kassette. Dann wird die Kolbenstange 28 betätigt, um den Förderhebel 26 mit der erfassten und an ihrer Spitze gehaltenen Halbleiterscheibe zum Elektrodenmechanismus 13 zu fördern. Zu dieser Zeit ist die Kammer, an welcher der Elektrodenmechanismus einzu-schliessen ist, in Querrichtung offen, um den Förderhebel und die durch diesen getragene Halbleiterscheibe aufnehmen zu können und der Elektrodenmechanismus ist vertikal so eingestellt, dass eine freie Plasmaausstossregion auf einer genauen Höhe angeordnet ist, um die Halbleiterscheibe in die freie Elektrodenausnehmung abgeben zu können, wenn der Förderteil 26 in eine vollständig ausgefahrene Stellung verschoben wurde. Danach wird der Förderteil zurückgezogen und die Kassette wird weiter abgesenkt, um eine andere Halbleiterscheibe einzubringen, zu halten und in die nächste freie Plasmaausstossregion zu bringen, währenddem der Elektrodenmechanismus vertikal eingestellt wird, um diese Region auf eine entsprechende Zuführhöhe zu bringen. Schiebestäbe 29 und eine motorbetriebene Gewindespindel 30 dienen dazu, die vertikalen Hebebewegungen des Mehr-fachelektrodenmechanismus mit einerTragplattform 31 zu •führen und einzustellen. Die Einstellung erfolgt vorzugsweise vollständig automatisch und wird in Abhängigkeit von Schaltern oder anderen Fühlern gesteuert. Es ist auch möglich die Steuerung von Hand vorzunehmen. Sobald die Ausstossregionen mit Halbleiterscheiben beschicht wurden, werden die Elektroden in einer luftdichten Kammer (nicht dargestellt) eingeschlossen und nachdem ein ausgewähltes Schutzgas eingelassen wurde, bewirkt die Hochfrequenzerregung der Elektroden die Plasmaerzeugung und die Einwirkung des Plasmas bis ein gewünschter Herstellungsschritt abgeschlossen ist. Wird die Kammer nachfolgend geöffnet, können die benachbarten Halbleiterscheiben entfernt und in die Kassette eingeführt werden.
Fig. 2 zeigt einen Teil des Mehrfachelektrodenmecha-nismus 13, der zusammengesetzte Elektrodeneinheiten 22,23 enthält, zwischen denen eine Plasmaeinstossregion 13d definiert ist. Die Halbleiterscheibe 15 und der Förderhebel 26 sind ebenfalls dargestellt. Die Pfeile 32 kennzeichnen die entgegengesetzten Richtungen, in welchen der Hebel im Laufe der Beschickung und Entnahme der Halbleiterscheibe in bzw. aus der Region 13d ausgefahren und zurückgezogen wird. Die Spitze des Hebels 26 ist innerhalb eines sich quer erstreckenden Schlitzes 23A der oberen metallischen, ebenen Leiterelektrodenplatte 23a geführt, auf welcher die zu behandelnde Halbleiterscheibe in der flachen, im allgemeinen kreisförmigen Ausnehmung 23B aufliegt. Obwohl die Elektrodenplatte 23a die obere der Elektrodeneinheit ist, ist sie natürlich die untere Elektrodenplatte der ebenen Leiter, die. die Plasmaaussiossregionen bestimmen, die eine gleichmäs-sige Dicke 33 haben. Deshalb sinddie Elektrodeneinheiten 19-25 in horizontaler Lage durch Ständer 34-37 übereinanderliegend festgehalten. Die Ständer bestehen aus Isolier-material. Die elektrischen Bedingungen diktieren, dass die verschiedenen Elektrodenplatten in vorherbestimmter Art polarisiert und gespeist werden. Die elektrisch leitenden Glieder 34a-37a, welche die isolierenden Ständern überlagern, bilden die Mittel zur Herstellung zweckmässiger Anschlüsse mit nur gewissen Elektrodenplatten und mit beabslandeten Positionen, die sich bei relativ hohen Frequenzen und Leistung (z.B. 13 MHz, 1000 Wiitt) ;\ls vorteilhaft erwiesen haben. Es wurde festgestellt, dass die Wiederholbarkeit der Verfahrensresultate verbessert wird, wenn die Temperatur der Halbleiterscheibe oder eines anderen Werk-s stückes im wesentlichen stabil gehalten wird und dass gewisse andere unerwünschte «Reaktionen» mit Widerstandsmaterial verringert werden können, wenn die Halbleiterscheibe gekühlt wird. Aus diesen Gründen sind die die oberen Halbleiterscheibe haltenden Elektrodenplatten 22a io und 23a mit unseren Durchlasskanälen für ein Kühlmittel, wie Wasser oder Ethylenglykol versehen. Das Kühlmittel wird im Zwangsstrom durch die Durchlasskanäle geführt.
Ein Hauptfaktor zur Verbesserung der elektrischen Eigenschaften des Systems sowie seiner wichtigen, geringen ls Gesamthöhe liegt in der Konstruktion und den Beziehungen zwischen verschiedenen Elektrodeneinheiten 19-25. Jede enthält obere und untere elektrisch leitende, metallische Plattenelektroden, die durch den Buchstaben a bzw. b bezeichnet sind. Zwischen den Plattenelektroden liegt eine 20 Isolierschicht, die mit dem Buchstaben c bezeichnet sind. Die Isolierschichten 19c-25c bestehen vorzugsweise aus nicht organischer Tonerde hoher Dichte. Es können auch andere Materialien verwendet werden, z.B. Teflon, wenn es beim angewendeten Verfahren nicht verätzt oder anderweitig be-25 einflusst wird. Die Dicke 39 (Fig. 2 und 6) der unteren Elektrodenplatten I9b-25b muss nicht grösser sein als erforderlich, um die Ebenheit und strukturelle Integrität beim Gebrauch beizubehalten. Die Dicke 40 der oberen Elektrodenplatten 19a-25b muss jedoch gross genug sein, um die 3o Durchlasskanäle vorsehen zu können. Bei den Isolierschichten I9c-25c muss die Dicke 40 selbstverständlich so gross sein, dass ein Spannungsdurchschlag vermieden und die Impedanz zwischen den Elektroden aufrecht erhalten wird, was die Plasmaausbildung zwischen den die offenen 35 Ausstossbereiche begrenzenden Elektroden begünstigt. Die anderen Elektrodenzwischenräume sind mit Isoliermaterial ausgefüllt. Eine geeignete Ausführung enthält Elektrodenplatten und Isolierschichten mit einer Abmessung von ca. 152,4x 152,4 mm (6" *6"), einer Dicke 39 von ca. 6,35 mm 40 (1/4"), einer Dicke 40 von ca. 12,7 (1/2") und einer Dicke der Isolierschicht (Teflon) 41 von ca. 6,35 mm(l/4"). Die Pfeile 42 (Fig. 2) (bezeichnen die Auf- und Abwärtsbewegungen des Elektrodenmechanismus bezüglich seiner Plattform 31 (Fig. 1,3 und 4), wenn dieser eingestellt wird, um die 45 Plasmaausstossregionen in eine Stellung zur automatischen Beschickung und Abnahme der Halbleiterscheiben zu bringen.
Sobald eine einzelne Scheibe oder eine Charge derselben in der Stellung ist, in der das Plasma einwirken kann, wird so der gesamte Elektrodenaufbau in einer glockenförmigen Flasche (eine bekannte Anordnung und durch gestrichelte Linien 43 in Fig. 4 gezeigt) eingeschlossen. Ein zur Plasmaerzeugung geeignetes gasförmiges Medium, z.B. Tetrafluorkohlenstoff wird zugeführt und laufend frisch nachgefüllt, wenn ss eine Hochfrequenzerregung erfolgt, um ein Gasentladungsplasma in jedem Bereich 13a—13f zu unterstützen. Das Evakuieren, Reinigen, Abdichten, Einführen des Gases oder der Gase, usw. sind dem Fachmann bekannte Vorgänge und werden deshalb nicht ausführlich beschrieben.
m Die elektrischen Erregungen sind unterschiedlich und ausgesprochen vorteilhaft und sollten beachtet werden (Fig. 4), um gleichzeitig schlagartige, gleiche Polarisationen zu erzeugen und alle oberen und unteren Elektroden 19a-25a, 19b-25b in den verschiedenen zusammengesetzten Elek-65 troden zu speisen. Die Hochfrequenzquelle 44 erzeugt eine solche Erregung über ihre Schiene 44A, die mit den unteren Elektroden 19b—25b verbunden ist, und ihre Schiene 44B, die mit den oberen Elektroden 19a-25a verbunden ist. Die in
5
658"
Fig. 4 angegebenen Momentanerregungen werden angegeben, um die Erregungsbedingungen zu zeigen, die bei entgegengesetzten Polaritäten auftreten können. Zu irgend einem Zeitpunkt sind alle Plasmaregionen 13a—13f gleich polarisiert, wie alle Halbleiterscheiben haltenden oberen^ Elektrodenplatten 19a-25a. Dadurch wird bestimmt, ob die Ätzung in der gleichen Weise durchgeführt wird. Ein geerdeter oder geregelter Zustand für die Halbleiterscheiben haltenden Anoden 19a-25a will das vorgenannte Plasma-oder chemische Plasmaätzverfahren hervorbringen, z.B. indem 19a-25aals gespeiste Kathoden betrieben werden, wird das vorstehend genannte RIE-Verfahren durchgeführt. Zur Unterscheidung, die Anordnung in Fig. 5, welche nur einzelne Elektroden 19'-25' enthält, hat an den Halbleiterscheiben tragende Elektroden und in den Plasmaregionen eher schlagartig entgegengesetzte als gleiche Polarisation, so dass die Verarbeitung in den aufeinanderfolgenden Regionen nicht die gleiche ist. Im letzteren zeigen die unterschiedlich gekennzeichneten Bezugszahlen Gegenstücke zu den anderen Darstellungen zur Verdeutlichung der Offenbarung. Ein Gerät, wie das in Fig. 5, hat eine begrenztere Leistungsfähigkeit und falls nur abwechselnde Plasmaregionen angewendet werden, können z.B. weniger Halbleiterscheiben bearbeitet werden und der Elektrodenmechanismus ist notwendigerweise sehr viel höher als bei vergleichbaren Ausführungen wie in Fig. 4.
Die Leistungsverteilung auf die Elektroden, die bezüglich den anderen Teilen des Systems ausgelegt ist, ist bei der Hochfrequenz keine vollkommen unkomplizierte Angelegenheit. Insbesondere unter Berücksichtigung der gleichmäs-sigen und wiederholbaren Verarbeitung von vielen Werkstücken kann durch einfache Verbindungen einer Quelle 44 mit den vielen Elektrodenplatten zunichte gemacht werden, weil es scheint, dass sie keine identischen Erregungen und Speisung aus welchen Gründen auch immer bewirken. Ein Hilfsmittel, welches eine Verbesserung in dieser Hinsicht bringt, liegt in der Ausführung der Zuführelemente 44B (Fig. 4) in Form der elektrisch leitenden Glieder 36a und 37a, die in Fig. 3 dargestellt sind. Jedes der länglichen Glieder 36a und 37a ist in Längsrichtung in der Mitte geschlitzt und hat einen zentralen Zungenabschnitt, der von der Spitze absteht.
Diese Spitze ist direkt an die Halbleiterscheibe hallenden Elektroden der Elektrodeneinheiten 19-25 angeschlossen. Die über Speiseleiter 44B am unteren Teil der Glieder an lie gende Hochfrequenz wird gleichmässiger auf die Halbleitei s Scheiben tragenden Elektroden verteilt, als wenn flache Schienenanschlüsse angewendet werden. In gleicher Hinsicht kann die Funktion einwandfreier gesteuert werden, wenn die Anpassung und Abstimmung mittels veränderlicher Impedanzen vorgenommen wird, wie das in Fig. 9 io gezeigt ist. Der Ausgang der Hochfrequenzquelle 47 über ei 50 Ohm-Kabel ist im geeigneten Umfang mittels einer einstellbaren Shuntkapazität 48 und einer einstellbaren Serieir duktanz 49 an den Eingang des Elektrodenaufbaues 18 ange passt. Die Erregung der einzelnen Halbleiterscheiben trais genden oberen Elektrodenplatten der Elektrodeneinheiten 19-25 erfolgt mittels separaten einstellbaren Kapazitanzen 50, 51-56, die jeweils in Serie mit den Eingangsleitern dieser Platten geschaltet sind. Die Aufteilung der Erregung auf die einzelnen Elektrodenplatten kann durch experimentelles io Einstellen der letztgenannten Kapazitanzen, Bestimmung ir welchem Umfange die Ätzung in jedem Plasmabereich auftreten soll und Fortsetzung der Prüf- und Einstellvorgänge, bis die Ätzwirkungen als ausgeglichen angesehen werden, erreicht werden. Eine weitere einstellbare Kapazitanz 57, dit 25 in Serie mit dem Eingang aller Elektrodenplatten geschaltet ist, dient als ein Mittel zur weiteren Ausregelung der Steuereffekte, die zwischen dem Elektrodenaufbau und den naheliegenden Wänden und anderen möglichen Störmaterialien und -feldern entstehen. Die durch die einstellbaren Impe-30 danzen erregten Elektroden können bei einer alternativen Betriebsweise die gegenüberliegenden zu den dargestellten sein.
Fig. 7 und 8 zeigen eine bevorzugte Konstruktion, welche die wirkungsvolle Zirkulation des Kühlmittels durch die 35 Halbleiterscheiben tragenden Elektrodenplatten erleichtern Zwei parallele, beabstandete längliche Bohrungen 58 und 59 in die jeweils einige Durchlässe 60 bzw. 61 münden, sind fn der Platte 23 vorgesehen, wobei die Durchlässe von einer schmalen Ausnehmung 62 an der Querseite der Platte 23 aus 40 gehen. Nach dem Bohren wird die Ausnehmung 62 an der Seite der Platte verschlossen.
B
1 Blatt Zeichnungen

Claims (9)

  1. 658 751 —
    PATENTANSPRÜCHE
    1. Vorrichtung zum Ätzen von Werkstücken mittels Gasplasma. gekennzeichnet durch eine Kammer zur Erzeugung einer gasförmigen Atmosphäre, aus der unter Einfluss von anliegenden elektrischen Potentialen Gasplasma erzeugbar ist, einen Mehrfachelektrodenaufbau aus übereinander gestapelten Elektroden, um die Potentiale gleichzeitig über eine ausgerichtete Reihe von einzelnen Plasmaausstossre-gionen, die ein Werkstück innerhalb der Kammer aufnehmen, anzulegen, wobei der Aufbau eine Mehrzahl von im wesentlichen horizontalen Elektrodeneinheiten (19-25), die jeweils ein Paar von im wesentlichen ebenen Elektroden mit im wesentlichen gleichen Breiteabmessungen und Form und einen Füllkörper (19c-25c) aus Isoliermaterial gleichmäs-siger Dicke aufweist, die zwischen den Elektroden angeordnet sind, wobei der Aufbau ferner eine Halteeinrichtung mit Ständern (34-37), die die Elektrodeneinheiten an deren Kanten isoliert, übereinander gestapelt und zueinander ausgerichtet und mit dem gleichen Einheitshöhenab-stand, der die getrennte Plasmaausstossregion (13a-13d) bildet, halten, wobei die Dicke des Füllkörpers aus Isoliermaterial geringer ist als die Höhe des Abstandes, und obere Elektroden der Einheiten ausgebildet sind, um Werkstücke (15) aufzunehmen und zu halten, und eine Einrichtung (47), um im wesentlichen immer die gleiche Hochfrequenzerre-gung über den Elektroden der Einheiten (19-25), welche den Ober- und Unterteil jeder Region umfassen, zu erzeugen und dadurch im wesentlichen eine identische Plasmabeschaffenheit gleichzeitig in allen Regionen (13a-13d) zu erzeugen.
  2. 2. Vorrichtung nach Anspruch 1, gekennzeichnet durch eine Werkstückförderstation (12), die Mittel (16) längs des Elektrodenaufbaues, um eine Kassette (14) mitüberein-andergestapelten Werkstücken (15) aufzunehmen, und einen Werkstückförderhebel (26) enthält, der in Wirkverbindung mit einer Betätigungs- und Steuereinrichtung (28) ist, wobei die Steuereinrichtung (28) den Hebel (26) zwischen einer ausgefahrenen und einer zurückgezogenen Stellung bewegt, um die Werkstücke nacheinander aus der Kassette (14) auf die oberen Elektroden (19) zu transportieren.
  3. 3. Vorrichtung nach Anspruch 2, dadurch gekennzeichnet, dass eine Hebe- und Senkeinrichtung (29-31) vorgesehen ist, um die Regionen (13a-13d) und die oberen Elektroden ( 19c-25a) in eine Stellung zu bringen, in der Werkstücke (15) durch den Werkstückförderhebel (26) zugeführt oder abgenom men werden können.
  4. 4. Vorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass die das Werkstück (15) enthaltenden oberen Elektroden (19a-25a) der Einheiten Durchlässe (60,61) zum Zuführen eines Kühlmittelstromes aufweist, um die oberen Elektroden (19a-25a) zu kühlen, und dass eine zweite Einrichtung zum Treiben flüssigen Kühlmittels durch die oberen Elektroden, währenddem in den Regionen (13a-23d) Plasma erzeugt wird, vorgesehen ist.
  5. 5. Vorrichtung nach Anspruch 4, dadurch gekennzeichnet, dass die Durchlässe in jeder der oberen Elektroden durch ein Paar beabstandeter, paralleler, länglicher Sacklöcher (58,59) gebildet sind, die querliegend ausgebildet sind, wobei jede mit einer Mehrzahl von schrägen länglichen Bohrungen (60,61 ) in Verbindung steht, die von der Seite eines Hohlraumes (62) her ausgebildet sind, die in einer Kante zwischen den Sacklöchern ausgespart ist, wobei der Hohlraum (62) aitden Seitenkanten geschlossen ist und die Öffnungen zu den Sacklöchern einen Ein- und Auslass für das durch die oberen Elektroden strömende Kühlmittel bilden (Fig. 7).
  6. 6. Vorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass die Einrichtung eine Hochfrequenzquelle (47), eine einstellbare Anpassvorrichtung (48, 49), um im wesentlichen die Impedanz einzustellen, bei der die Ausgangsleistung von der Quelle abgegeben und die Eingangsimpedanz durch die beabstandeten und isolierten ebenen Elektroden gebildet wird, und eine Anordnung (50-56) aufweist, um die Hochfrequenzausgangsleistung aus der Anpassvorrichtung s (48,49) im wesentlichen gleichmässig auf die verschiedenen Elektrodenpaare aufzuteilen (Fig. 9).
  7. 7. Vorrichtung nach Anspruch 6, dadurch gekennzeichnet, dass die Anpassvorrichtung eine einstellbare Induktanz (49) in Serie mit einem ungeerdeten Ausgangs-
    io leiter der Quelle und eine einstellbare Kapazitanz (57) aufweist, die über diesen Leiter und einen geerdeten Ausgangsleiter der Quelle geshuntet ist (Fig. 9).
  8. 8. Vorrichtung nach Anspruch 6, dadurch gekennzeichnet, dass die Anordnung zur Aufteilung der Ausgangs-
    is leistung eine Mehrzahl von einstellbaren Kapazitanzen (50-56) aufweist, die jeweils in Serie mit jeder oberen Elektrode geschaltet und mit einem ungeerdeten Ausgangsleiter der Anpassvorrichtung (48,49) verbunden sind (Fig. 9).
  9. 9. Vorrichtung nach Anspruch 6, dadurch gekenn-
    20 zeichnet, dass ferner eine einstellbare Kapazitanz (57) in Serie mit allen oberen Elektroden geschaltet ist (Fig. 9).
    25
CH4426/85A 1982-01-06 1983-02-23 Vorrichtung zum aetzen von werkstuecken. CH658751A5 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US06/337,372 US4381965A (en) 1982-01-06 1982-01-06 Multi-planar electrode plasma etching

Publications (1)

Publication Number Publication Date
CH658751A5 true CH658751A5 (de) 1986-11-28

Family

ID=23320301

Family Applications (2)

Application Number Title Priority Date Filing Date
CH4426/85A CH658751A5 (de) 1982-01-06 1983-02-23 Vorrichtung zum aetzen von werkstuecken.
CH1019/83A CH656020A5 (de) 1982-01-06 1983-02-23 Vorrichtung zur beeinflussung von werkstuecken durch gasplasma.

Family Applications After (1)

Application Number Title Priority Date Filing Date
CH1019/83A CH656020A5 (de) 1982-01-06 1983-02-23 Vorrichtung zur beeinflussung von werkstuecken durch gasplasma.

Country Status (7)

Country Link
US (1) US4381965A (de)
JP (1) JPS59159532A (de)
CA (1) CA1177782A (de)
CH (2) CH658751A5 (de)
DE (1) DE3308222A1 (de)
FR (1) FR2541509B1 (de)
GB (1) GB2135816B (de)

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0090067B2 (de) 1982-03-31 1991-03-20 Ibm Deutschland Gmbh Reaktor für das reaktive Ionenätzen und Ätzverfahren
US4420385A (en) * 1983-04-15 1983-12-13 Gryphon Products Apparatus and process for sputter deposition of reacted thin films
JPH0644554B2 (ja) * 1984-03-28 1994-06-08 株式会社富士電機総合研究所 プラズマcvd装置
CA1269950A (en) * 1984-06-22 1990-06-05 Yoshihisa Tawada Glow-discharge decomposition apparatus
US4623441A (en) * 1984-08-15 1986-11-18 Advanced Plasma Systems Inc. Paired electrodes for plasma chambers
US4610748A (en) * 1984-12-10 1986-09-09 Advanced Semiconductor Materials Of America, Inc. Apparatus for processing semiconductor wafers or the like
US4685852A (en) * 1985-05-20 1987-08-11 Machine Technology, Inc. Process apparatus and method and elevator mechanism for use in connection therewith
JPH0732148B2 (ja) * 1985-12-19 1995-04-10 日本電気株式会社 反応性スパツタエツチング方法
US4724296A (en) * 1986-02-28 1988-02-09 Morley John R Plasma generator
EP0246453A3 (de) * 1986-04-18 1989-09-06 General Signal Corporation Kontaminierungsfreie Plasma-Ätzvorrichtung mit mehreren Behandlungsstellen
US6103055A (en) * 1986-04-18 2000-08-15 Applied Materials, Inc. System for processing substrates
US5308431A (en) * 1986-04-18 1994-05-03 General Signal Corporation System providing multiple processing of substrates
US4887005A (en) * 1987-09-15 1989-12-12 Rough J Kirkwood H Multiple electrode plasma reactor power distribution system
US5185132A (en) * 1989-12-07 1993-02-09 Research Development Corporation Of Japan Atomspheric plasma reaction method and apparatus therefor
JP2509389B2 (ja) * 1991-01-18 1996-06-19 株式会社日立製作所 ドライエッチング装置
JPH0652726B2 (ja) 1991-01-18 1994-07-06 株式会社日立製作所 ドライエッチング方法
US5244559A (en) * 1991-07-31 1993-09-14 Leybold Aktiengesellschaft Apparatus for transport and heat treatment of substrates
DE4203080A1 (de) * 1991-07-31 1993-08-05 Leybold Ag Vorrichtung fuer die waermebehandlung und den transport von substraten
CH687987A5 (de) * 1993-05-03 1997-04-15 Balzers Hochvakuum Verfahren zur Erhoehung der Beschichtungsrate in einem Plasmaentladungsraum und Plasmakammer.
US5588827A (en) * 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
AU2003195A (en) 1994-06-21 1996-01-04 Boc Group, Inc., The Improved power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
US6245189B1 (en) * 1994-12-05 2001-06-12 Nordson Corporation High Throughput plasma treatment system
US5643639A (en) * 1994-12-22 1997-07-01 Research Triangle Institute Plasma treatment method for treatment of a large-area work surface apparatus and methods
US6193506B1 (en) * 1995-05-24 2001-02-27 Brooks Automation, Inc. Apparatus and method for batch thermal conditioning of substrates
US5609459A (en) * 1995-07-06 1997-03-11 Brooks Automation, Inc. Door drive mechanisms for substrate carrier and load lock
US5588789A (en) * 1995-07-06 1996-12-31 Brooks Automation Load arm for load lock
US5613821A (en) * 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
US5664925A (en) * 1995-07-06 1997-09-09 Brooks Automation, Inc. Batchloader for load lock
US5607276A (en) * 1995-07-06 1997-03-04 Brooks Automation, Inc. Batchloader for substrate carrier on load lock
US6299404B1 (en) * 1995-10-27 2001-10-09 Brooks Automation Inc. Substrate transport apparatus with double substrate holders
US6481956B1 (en) 1995-10-27 2002-11-19 Brooks Automation Inc. Method of transferring substrates with two different substrate holding end effectors
US6036426A (en) * 1996-01-26 2000-03-14 Creative Design Corporation Wafer handling method and apparatus
US6062798A (en) 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US6106683A (en) * 1997-06-23 2000-08-22 Toyo Technologies Inc. Grazing angle plasma polisher (GAPP)
US6780464B2 (en) 1997-08-11 2004-08-24 Torrex Equipment Thermal gradient enhanced CVD deposition at low pressure
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US6321680B2 (en) * 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
AU4067799A (en) * 1998-01-08 1999-08-23 University Of Tennessee Research Corporation, The Remote exposure of workpieces using a one atmosphere uniform glow discharge plasma
US6406759B1 (en) 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
WO1999035893A2 (en) * 1998-01-08 1999-07-15 The University Of Tennessee Research Corporation Paraelectric gas flow accelerator
US6228278B1 (en) 1998-09-30 2001-05-08 Lam Research Corporation Methods and apparatus for determining an etch endpoint in a plasma processing system
US6120229A (en) * 1999-02-01 2000-09-19 Brooks Automation Inc. Substrate carrier as batchloader
US6576202B1 (en) * 2000-04-21 2003-06-10 Kin-Chung Ray Chiu Highly efficient compact capacitance coupled plasma reactor/generator and method
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
DE10052724B4 (de) * 2000-10-24 2012-08-02 Pac Tech-Packaging Technologies Gmbh Behandlungseinrichtung für Wafer
AU2002210861A1 (en) * 2000-10-26 2002-05-06 Dow Corning S.A. An atmospheric pressure plasma assembly
JP3897582B2 (ja) * 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
US7270724B2 (en) 2000-12-13 2007-09-18 Uvtech Systems, Inc. Scanning plasma reactor
US6773683B2 (en) * 2001-01-08 2004-08-10 Uvtech Systems, Inc. Photocatalytic reactor system for treating flue effluents
JP3897620B2 (ja) * 2002-03-14 2007-03-28 三菱重工業株式会社 高周波電力供給構造およびそれを備えたプラズマcvd装置
US20040043617A1 (en) * 2002-09-04 2004-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Partitioned wafer boat for constant wafer backside emmissivity
JP2004288984A (ja) * 2003-03-24 2004-10-14 Sharp Corp 成膜装置及び成膜方法
JP4038679B2 (ja) * 2003-05-13 2008-01-30 住友電気工業株式会社 半導体レーザーバーの固定用治具
US7845309B2 (en) * 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
BRPI0403536B1 (pt) * 2004-08-06 2011-08-23 reator de plasma industrial para a extração de ligantes assistida por plasma, de peças produzidas por injeção de pós.
US20070048456A1 (en) * 2004-09-14 2007-03-01 Keshner Marvin S Plasma enhanced chemical vapor deposition apparatus and method
JP4185483B2 (ja) * 2004-10-22 2008-11-26 シャープ株式会社 プラズマ処理装置
JP2006196681A (ja) * 2005-01-13 2006-07-27 Sharp Corp プラズマ処理装置および同装置により製造された半導体素子
JP4584722B2 (ja) * 2005-01-13 2010-11-24 シャープ株式会社 プラズマ処理装置および同装置により製造された半導体素子
EP1878039B1 (de) * 2005-05-04 2009-03-04 Oerlikon Trading AG, Trübbach Plasmaverstärker für plasmabehandlungsanlage
US20070084407A1 (en) * 2005-10-14 2007-04-19 Hon Hai Precision Industry Co., Ltd. Apparatus and method for manufacturing carbon nanotubes
US20080139003A1 (en) * 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR101345605B1 (ko) 2007-03-08 2013-12-31 (주)소슬 승강 장치, 이를 포함하는 기판 처리 장치 및 이를이용하여 기판을 처리하는 방법
US20100022094A1 (en) * 2007-03-08 2010-01-28 Sosul Co., Ltd. Elevator and apparatus and method for processing substrate using the same
GB2489761B (en) * 2011-09-07 2015-03-04 Europlasma Nv Surface coatings
CN106714434B (zh) * 2015-07-17 2024-04-09 核工业西南物理研究院 成对电极共面放电等离子体发生装置
EP3421638A1 (de) * 2017-06-28 2019-01-02 Meyer Burger (Germany) GmbH Vorrichtung zur hochtemperatur-cvd mit einer stapelanordnung aus gasverteilern und aufnahmeplatten
DE102017223592B4 (de) * 2017-12-21 2023-11-09 Meyer Burger (Germany) Gmbh System zur elektrisch entkoppelten, homogenen Temperierung einer Elektrode mittels Wärmeleitrohren sowie Bearbeitungsanlage mit einem solchen System
US11555247B2 (en) * 2019-09-20 2023-01-17 Jiangsu Favored Nanotechnology Co., Ltd. Coating apparatus and movable electrode arrangement, movable support arrangement, and application thereof
CN115948714A (zh) * 2022-12-30 2023-04-11 湖州爱康光电科技有限公司 一种rpd镀膜设备

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3450617A (en) * 1966-08-22 1969-06-17 Hooker Chemical Corp Method of conducting chemical reactions in a glow discharge
US4252595A (en) * 1976-01-29 1981-02-24 Tokyo Shibaura Electric Co., Ltd. Etching apparatus using a plasma
US4062463A (en) * 1976-05-11 1977-12-13 Machine Technology, Inc. Automated single cassette load mechanism for scrubber
GB1522059A (en) * 1976-10-19 1978-08-23 Standard Telephones Cables Ltd Plasma etching
JPS53112066A (en) * 1977-03-11 1978-09-30 Fujitsu Ltd Plasma treatment apparatus
US4264393A (en) * 1977-10-31 1981-04-28 Motorola, Inc. Reactor apparatus for plasma etching or deposition
US4222838A (en) * 1978-06-13 1980-09-16 General Motors Corporation Method for controlling plasma etching rates
US4223048A (en) * 1978-08-07 1980-09-16 Pacific Western Systems Plasma enhanced chemical vapor processing of semiconductive wafers
US4285800A (en) * 1979-04-18 1981-08-25 Branson International Plasma Corp. Gas plasma reactor for circuit boards and the like
US4277321A (en) * 1979-04-23 1981-07-07 Bell Telephone Laboratories, Incorporated Treating multilayer printed wiring boards
US4230553A (en) * 1979-04-23 1980-10-28 Bell Telephone Laboratories, Incorporated Treating multilayer printed wiring boards
JPS5643158U (de) * 1979-09-11 1981-04-20
JPS5643724A (en) * 1979-09-13 1981-04-22 Pacific Western Systems Method and device for semiconductorrwafer pecvvtreatment
US4287851A (en) * 1980-01-16 1981-09-08 Dozier Alfred R Mounting and excitation system for reaction in the plasma state
US4275289A (en) * 1980-02-04 1981-06-23 Western Electric Company, Inc. Uniformly cooled plasma etching electrode
DD153497A3 (de) * 1980-02-08 1982-01-13 Georg Rudakoff Verfahren und vorrichtung zum plasmaaetzen oder zur plasma cvd
US4328081A (en) * 1980-02-25 1982-05-04 Micro-Plate, Inc. Plasma desmearing apparatus and method
US4289598A (en) * 1980-05-03 1981-09-15 Technics, Inc. Plasma reactor and method therefor
US4313783A (en) * 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
US4282077A (en) * 1980-07-03 1981-08-04 General Dynamics, Pomona Division Uniform plasma etching system
US4341582A (en) * 1980-12-22 1982-07-27 The Perkin-Elmer Corporation Load-lock vacuum chamber

Also Published As

Publication number Publication date
JPS59159532A (ja) 1984-09-10
FR2541509B1 (fr) 1989-05-05
DE3308222A1 (de) 1984-09-13
GB2135816B (en) 1987-04-08
GB8305407D0 (en) 1983-03-30
CA1177782A (en) 1984-11-13
US4381965A (en) 1983-05-03
GB2135816A (en) 1984-09-05
CH656020A5 (de) 1986-05-30
FR2541509A1 (fr) 1984-08-24

Similar Documents

Publication Publication Date Title
CH658751A5 (de) Vorrichtung zum aetzen von werkstuecken.
DE2703659C2 (de) Ätzvorrichtung zum Ätzen eines Objekts unter Verwendung von Plasma
DE3416470A1 (de) Verfahren und vorrichtung zur herstellung von halbleitern im trockenverfahren unter verwendung einer fotochemischen reaktion
DE60033312T2 (de) Plasmabehandlungsvorrichtung und -verfahren
DE3689349T2 (de) Ionenquelle.
DE69713080T2 (de) Apparatur zur gleichmässigen verteilung von plasma
DE69133535T2 (de) Arbeitsverfahren für Vakuumbehandlungsvorrichtung
DE10060002B4 (de) Vorrichtung zur Oberflächenbehandlung
DE69622096T2 (de) Plasmaätzgerät unter Benützung von Plasmaeinschluss
DE3442844C2 (de) Vorrichtung zur Behandlung einer Probe im Vakuum
DE69124411T2 (de) Vorrichtung zur Kontrolle der Kontaminierung in einen mit einer Spannung betriebenen Elektroden versehenen Gerät
DE69130897T2 (de) Vakuum-Behandlungsverfahren und Vorrichtung
DE3102174C2 (de) Plasmareaktor zur Behandlung von Halbleitern
EP3278355B1 (de) Waferboot und behandlungsvorrichtung für wafer
DE112006002151T5 (de) Plasmabearbeitungsgerät
DE69213004T2 (de) Vorrichtung zur bearbeitung eines feststoffes
EP2311066A1 (de) Vorrichtung und verfahren zur erzeugung dielektrischer schichten im mikrowellenplasma
EP0089382B1 (de) Plasmareaktor und seine Anwendung beim Ätzen und Beschichten von Substraten
EP0390004B1 (de) Verfahren und Vorrichtung zum Mikrowellen-Plasmaätzen
EP0090067A1 (de) Reaktor für das reaktive Ionenätzen und Ätzverfahren
DE2708720C2 (de) Plasmaätzreaktor
DE102017108290B4 (de) Plasmavorrichtung
EP1854907B1 (de) Vorrichtung zur plasmagestützten chemischen Oberflächenbehandlung von substraten im Vakuum
DE10050046C2 (de) Mehrstufige Trockenplasmaätzung mit variablem Elektrodenabstand
EP0018556A1 (de) Anordnung und Verfahren zum selektiven, elektrochemischen Ätzen

Legal Events

Date Code Title Description
PL Patent ceased