AU2003238006A1 - Thermal sprayed yttria-containing coating for plasma reactor - Google Patents

Thermal sprayed yttria-containing coating for plasma reactor

Info

Publication number
AU2003238006A1
AU2003238006A1 AU2003238006A AU2003238006A AU2003238006A1 AU 2003238006 A1 AU2003238006 A1 AU 2003238006A1 AU 2003238006 A AU2003238006 A AU 2003238006A AU 2003238006 A AU2003238006 A AU 2003238006A AU 2003238006 A1 AU2003238006 A1 AU 2003238006A1
Authority
AU
Australia
Prior art keywords
thermal sprayed
plasma reactor
containing coating
sprayed yttria
yttria
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
AU2003238006A
Other versions
AU2003238006A8 (en
Inventor
John E. Daugherty
Robert J. O'donnell
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of AU2003238006A1 publication Critical patent/AU2003238006A1/en
Publication of AU2003238006A8 publication Critical patent/AU2003238006A8/en
Abandoned legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Plasma Technology (AREA)

Abstract

Components of semiconductor processing apparatus comprise thermal sprayed yttria-containing coatings that provide erosion, corrosion and/or corrosion-erosion resistance in plasma atmospheres. The coatings can protect substrates from physical and/or chemical attack.
AU2003238006A 2002-06-27 2003-06-12 Thermal sprayed yttria-containing coating for plasma reactor Abandoned AU2003238006A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/180,504 2002-06-27
US10/180,504 US7311797B2 (en) 2002-06-27 2002-06-27 Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
PCT/US2003/018502 WO2004003962A2 (en) 2002-06-27 2003-06-12 Thermal sprayed yttria-containing coating for plasma reactor

Publications (2)

Publication Number Publication Date
AU2003238006A1 true AU2003238006A1 (en) 2004-01-19
AU2003238006A8 AU2003238006A8 (en) 2004-01-19

Family

ID=29778939

Family Applications (1)

Application Number Title Priority Date Filing Date
AU2003238006A Abandoned AU2003238006A1 (en) 2002-06-27 2003-06-12 Thermal sprayed yttria-containing coating for plasma reactor

Country Status (9)

Country Link
US (2) US7311797B2 (en)
EP (1) EP1518255B1 (en)
JP (2) JP2005531157A (en)
KR (2) KR101107542B1 (en)
CN (1) CN1663017A (en)
AT (1) ATE545148T1 (en)
AU (1) AU2003238006A1 (en)
TW (1) TWI328411B (en)
WO (1) WO2004003962A2 (en)

Families Citing this family (219)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (en) 1999-12-10 2001-07-07 히가시 데쓰로 Processing apparatus with a chamber having therein a high-etching resistant sprayed film
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
JP4104987B2 (en) * 2001-03-29 2008-06-18 株式会社ブリヂストン Tire and tire component manufacturing method, and apparatus used therefor
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
CN100418187C (en) * 2003-02-07 2008-09-10 东京毅力科创株式会社 Plasma processing device, annular element and plasma processing method
DE602004009982T2 (en) * 2003-03-31 2008-09-18 Sanyo Electric Co., Ltd., Moriguchi Metal stencil and method of printing lead-free solder paste therewith
WO2004095530A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited Adjoining adjacent coatings on an element
US7297247B2 (en) * 2003-05-06 2007-11-20 Applied Materials, Inc. Electroformed sputtering target
US6843870B1 (en) * 2003-07-22 2005-01-18 Epic Biosonics Inc. Implantable electrical cable and method of making
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US8213467B2 (en) 2004-04-08 2012-07-03 Sonosite, Inc. Systems and methods providing ASICs for use in multiple applications
CN1690254B (en) * 2004-04-13 2013-03-13 应用材料有限公司 Process chamber component having electroplated yttrium containing coating
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
CN101018885B (en) 2004-08-24 2010-07-14 圣戈本陶瓷及塑料股份有限公司 Semiconductor processing components and semiconductor processing utilizing same
JP2006186306A (en) * 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd Gas diffusion plate and manufacturing method thereof
US7226869B2 (en) * 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
KR100887271B1 (en) * 2004-12-17 2009-03-06 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus
US9659758B2 (en) 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
JP2006319043A (en) * 2005-05-11 2006-11-24 Hitachi High-Technologies Corp Plasma processor
KR101322549B1 (en) * 2005-06-17 2013-10-25 고쿠리츠다이가쿠호진 도호쿠다이가쿠 Protective film structure of metal member, metal component employing protective film structure, and equipment for producing semiconductor or flat-plate display employing protective film structure
US20090194233A1 (en) * 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
JP4813115B2 (en) * 2005-07-14 2011-11-09 国立大学法人東北大学 Semiconductor manufacturing apparatus member and cleaning method thereof
US7976641B1 (en) 2005-09-30 2011-07-12 Lam Research Corporation Extending storage time of removed plasma chamber components prior to cleaning thereof
WO2007055185A1 (en) * 2005-11-08 2007-05-18 Tohoku University Shower plate and plasma treatment apparatus using shower plate
US20070108161A1 (en) * 2005-11-17 2007-05-17 Applied Materials, Inc. Chamber components with polymer coatings and methods of manufacture
JP4856978B2 (en) * 2006-02-21 2012-01-18 株式会社日立ハイテクノロジーズ Plasma etching apparatus and method for forming inner wall of processing chamber
US20070215278A1 (en) * 2006-03-06 2007-09-20 Muneo Furuse Plasma etching apparatus and method for forming inner wall of plasma processing chamber
JP5014656B2 (en) * 2006-03-27 2012-08-29 国立大学法人東北大学 Plasma processing apparatus member and manufacturing method thereof
US7906032B2 (en) * 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
US20070246795A1 (en) * 2006-04-20 2007-10-25 Micron Technology, Inc. Dual depth shallow trench isolation and methods to form same
KR101344990B1 (en) * 2006-04-20 2013-12-24 신에쓰 가가꾸 고교 가부시끼가이샤 Conductive, plasma-resistant member
US7884026B2 (en) * 2006-07-20 2011-02-08 United Microelectronics Corp. Method of fabricating dual damascene structure
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
CN101123214B (en) * 2006-08-07 2011-03-16 联华电子股份有限公司 Making method for dual enchasing structure
JPWO2008032627A1 (en) * 2006-09-11 2010-01-21 株式会社アルバック Dry etching method
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US7723648B2 (en) * 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
JP2008103403A (en) * 2006-10-17 2008-05-01 Tokyo Electron Ltd Substrate mount table and plasma treatment apparatus
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US20080196661A1 (en) * 2007-02-20 2008-08-21 Brian West Plasma sprayed deposition ring isolator
US7718559B2 (en) * 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
CN101681830B (en) * 2007-05-11 2014-03-12 爱发科股份有限公司 Dry etching apparatus and dry etching method
US20080289766A1 (en) * 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
JP5567486B2 (en) * 2007-10-31 2014-08-06 ラム リサーチ コーポレーション Silicon nitride-silicon dioxide high life consumable plasma processing components
US8129029B2 (en) * 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
JP2009212293A (en) * 2008-03-04 2009-09-17 Tokyo Electron Ltd Component for substrate treatment apparatus, and substrate treatment apparatus
US20090261065A1 (en) * 2008-04-18 2009-10-22 Lam Research Corporation Components for use in a plasma chamber having reduced particle generation and method of making
US8120137B2 (en) * 2008-05-08 2012-02-21 Micron Technology, Inc. Isolation trench structure
CN101577211B (en) * 2008-05-09 2011-07-20 中微半导体设备(上海)有限公司 Reaction chamber component resisting plasma corrosion, preparation method thereof and plasma reaction chamber comprising same
JP5390166B2 (en) * 2008-10-30 2014-01-15 株式会社日本セラテック Corrosion resistant material
JP5390167B2 (en) * 2008-10-30 2014-01-15 株式会社日本セラテック Corrosion resistant material
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
TWI456679B (en) * 2009-03-27 2014-10-11 Advanced Micro Fab Equip Inc Reaction chamber component resistant to plasma corrosion, method of manufacturing the same, and plasma reaction chamber containing the same
JP5837733B2 (en) * 2009-04-24 2015-12-24 国立大学法人東北大学 Water generation reactor
KR20120090996A (en) * 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 Method of decontamination of process chamber after in-situ chamber clean
US20110206833A1 (en) * 2010-02-22 2011-08-25 Lam Research Corporation Extension electrode of plasma bevel etching apparatus and method of manufacture thereof
CN102296263B (en) * 2010-06-25 2013-04-24 中国科学院微电子研究所 Modification treatment method for inner surface of plasma etching process chamber
KR101487342B1 (en) 2010-07-30 2015-01-30 주식회사 잉크테크 Method for manufacturing transparent conductive layer and transparent conductive layer manufactured by the method
JP5606821B2 (en) * 2010-08-04 2014-10-15 東京エレクトロン株式会社 Plasma processing equipment
JP5389282B2 (en) * 2010-08-12 2014-01-15 株式会社東芝 Gas supply member, plasma processing apparatus, and method for forming yttria-containing film
JP5198611B2 (en) * 2010-08-12 2013-05-15 株式会社東芝 Gas supply member, plasma processing apparatus, and method for forming yttria-containing film
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
KR101108692B1 (en) * 2010-09-06 2012-01-25 한국기계연구원 Dense rare earth metal oxides coating to seal the porous ceramic surface, and the method of rare earth metal oxides coating layer
CN102456564A (en) * 2010-10-29 2012-05-16 中芯国际集成电路制造(上海)有限公司 Transformer-coupled plasma (TCP) window for etching cavity and etching cavity comprising same
DE202011002844U1 (en) 2011-02-17 2011-06-09 LAM RESEARCH CORPORATION (Delaware Corporation), California Extension electrode of a plasma impact edge etching apparatus
JP2012221979A (en) * 2011-04-04 2012-11-12 Toshiba Corp Plasma processing apparatus
CN108425090A (en) 2011-08-10 2018-08-21 恩特格里斯公司 The matrix being coated with through AlON with optionally yttrium oxide coating
US20130102156A1 (en) * 2011-10-21 2013-04-25 Lam Research Corporation Components of plasma processing chambers having textured plasma resistant coatings
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) * 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
KR20150024815A (en) * 2012-05-10 2015-03-09 유니버시티 오브 코네티컷 Methods and apparatus for making catalyst films
KR101637801B1 (en) 2012-05-22 2016-07-07 가부시끼가이샤 도시바 Component for plasma processing apparatus, and method for manufacturing component for plasma processing apparatus
KR101466967B1 (en) 2012-06-13 2014-12-15 한국과학기술연구원 Multi-component ceramic coating material for thermal spray and fabrication method and coating method thereof
US20140007901A1 (en) * 2012-07-06 2014-01-09 Jack Chen Methods and apparatus for bevel edge cleaning in a plasma processing system
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) * 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103794460B (en) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 The coating improved for performance of semiconductor devices
CN103794458B (en) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 For the parts within plasma process chamber and manufacture method
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9385018B2 (en) 2013-01-07 2016-07-05 Samsung Austin Semiconductor, L.P. Semiconductor manufacturing equipment with trace elements for improved defect tracing and methods of manufacture
KR102094304B1 (en) * 2013-02-05 2020-03-30 (주) 코미코 Method of treating a surface and ceramic structure useof
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
JP6076838B2 (en) * 2013-05-31 2017-02-08 住友重機械イオンテクノロジー株式会社 Insulation structure and insulation method
US9865434B2 (en) * 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9637415B2 (en) 2013-10-24 2017-05-02 Surmet Corporation Method of making high purity polycrystalline aluminum oxynitride bodies useful in semiconductor process chambers
US20150126036A1 (en) * 2013-11-05 2015-05-07 Tokyo Electron Limited Controlling etch rate drift and particles during plasma processing
JP2016540889A (en) * 2013-11-21 2016-12-28 インテグリス・インコーポレーテッド Surface coating for chamber parts used in plasma systems
CN104701125A (en) * 2013-12-05 2015-06-10 中微半导体设备(上海)有限公司 Gas distributing plate
US9873940B2 (en) * 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
US9976211B2 (en) * 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) * 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) * 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
JP6714978B2 (en) 2014-07-10 2020-07-01 東京エレクトロン株式会社 Parts for plasma processing apparatus, plasma processing apparatus, and method for manufacturing parts for plasma processing apparatus
KR101465640B1 (en) * 2014-08-08 2014-11-28 주식회사 펨빅스 CVD Process Chamber Components with Anti-AlF3 Coating Layer
JP6868553B2 (en) * 2014-08-15 2021-05-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Methods and equipment for processing wafers with compressive or tensile stress at high temperatures in a plasma chemical vapor deposition system
JP6544902B2 (en) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 Plasma processing system
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6396819B2 (en) * 2015-02-03 2018-09-26 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
TWI683888B (en) 2015-03-18 2020-02-01 美商恩特葛瑞斯股份有限公司 Articles coated with fluoro-annealed films
KR102376982B1 (en) * 2015-04-14 2022-03-21 삼성전자주식회사 Remote plasma generator for reducing particles by using ceramic
KR20160124992A (en) * 2015-04-20 2016-10-31 삼성전자주식회사 apparatus for manufacturing a substrate and ceramic film coating method of the same
KR102447682B1 (en) 2015-05-29 2022-09-27 삼성전자주식회사 Methods of forming coating layer, plasma treatment apparatus and methods of forming patterns
US20160358749A1 (en) * 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
KR20170006807A (en) 2015-07-09 2017-01-18 (주)티티에스 Component parts of process chamber and yttria deposition method on componet parts using chemical vapor deposition
KR20170015615A (en) * 2015-07-29 2017-02-09 삼성전자주식회사 apparatus for processing plasma
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10854492B2 (en) * 2015-08-18 2020-12-01 Lam Research Corporation Edge ring assembly for improving feature profile tilting at extreme edge of wafer
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6573820B2 (en) 2015-11-09 2019-09-11 東京エレクトロン株式会社 Plasma processing apparatus member and plasma processing apparatus
CN108463345B (en) 2015-11-16 2021-04-09 阔斯泰公司 Corrosion resistant assembly and method of manufacture
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
KR102504290B1 (en) * 2015-12-04 2023-02-28 삼성전자 주식회사 Preparing method for hydrogen plasma annealing treatment, method for hydrogen plasma annealing treatment, and apparatus therefor
US10488397B2 (en) 2016-04-05 2019-11-26 University Of Connecticut Metal oxide based sensors for sensing low concentration of specific gases prepared by a flame based process
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6908973B2 (en) * 2016-06-08 2021-07-28 三菱重工業株式会社 Manufacturing methods for thermal barrier coatings, turbine components, gas turbines, and thermal barrier coatings
KR101885570B1 (en) * 2016-07-05 2018-08-07 세메스 주식회사 Window member, method for manufacturing the same, and substrate treatment apparatus comprising the same
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
WO2018093414A1 (en) * 2016-11-16 2018-05-24 Coorstek, Inc. Corrosion-resistant components and methods of making
US9773665B1 (en) * 2016-12-06 2017-09-26 Applied Materials, Inc. Particle reduction in a physical vapor deposition chamber
KR101877017B1 (en) * 2017-01-09 2018-07-12 한국과학기술연구원 Semiconductor reactor and method of forming coating layer on metallic substrate for semiconductor reactor
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN110546733B (en) 2017-03-31 2022-10-11 玛特森技术公司 Preventing material deposition on a workpiece in a processing chamber
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
WO2019026818A1 (en) * 2017-07-31 2019-02-07 株式会社 東芝 Component and semiconductor manufacturing device
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP7239935B2 (en) * 2017-09-01 2023-03-15 学校法人 芝浦工業大学 Components and semiconductor manufacturing equipment
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
CN111566778A (en) * 2018-01-08 2020-08-21 朗姆研究公司 Assembly and process for managing plasma processing byproduct materials
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11087961B2 (en) * 2018-03-02 2021-08-10 Lam Research Corporation Quartz component with protective coating
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11139151B1 (en) * 2018-03-15 2021-10-05 Intel Corporation Micro-surface morphological matching for reactor components
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US20220042161A1 (en) * 2018-12-05 2022-02-10 Kyocera Corporation Member for plasma processing device and plasma processing device provided with same
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2020141123A (en) 2019-02-27 2020-09-03 Toto株式会社 Member for semiconductor manufacturing device, semiconductor manufacturing device having the same, and display manufacturing device
JP6801773B2 (en) * 2019-02-27 2020-12-16 Toto株式会社 Semiconductor manufacturing equipment and display manufacturing equipment including semiconductor manufacturing equipment members and semiconductor manufacturing equipment members
CN111627790B (en) * 2019-02-27 2024-05-03 Toto株式会社 Semiconductor manufacturing device component, semiconductor manufacturing device and display manufacturing device
WO2020180502A1 (en) * 2019-03-01 2020-09-10 Lam Research Corporation Surface coating for aluminum plasma processing chamber components
JP2022522752A (en) * 2019-03-05 2022-04-20 ラム リサーチ コーポレーション Laminated aerosol deposits for aluminum components for plasma processing chambers
CN112017932B (en) * 2019-05-31 2022-11-29 中微半导体设备(上海)股份有限公司 Corrosion-resistant structure of gas delivery system in plasma processing device
KR20210012178A (en) * 2019-07-24 2021-02-03 삼성전자주식회사 Substrate treating apparatus and substrate treating system having the same
US20210032750A1 (en) * 2019-07-31 2021-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition apparatus and method of forming metal oxide layer using the same
WO2021029970A1 (en) * 2019-08-09 2021-02-18 Applied Materials, Inc. Protective multilayer coating for processing chamber components
CN112713072B (en) * 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 Internal parts of plasma processing chamber and method for manufacturing the same
KR102225604B1 (en) * 2019-12-18 2021-03-10 피에스케이 주식회사 A substrate processing apparatus
US20230088848A1 (en) * 2020-01-23 2023-03-23 Lam Research Corporation Yttrium aluminum coating for plasma processing chamber components
US11661650B2 (en) 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
US11881385B2 (en) * 2020-04-24 2024-01-23 Applied Materials, Inc. Methods and apparatus for reducing defects in preclean chambers
CN113802094B (en) * 2020-06-16 2024-04-05 中微半导体设备(上海)股份有限公司 Coating method of corrosion-resistant coating, plasma etched part and reaction device
US20220013336A1 (en) * 2020-07-10 2022-01-13 Applied Materials, Inc. Process kit with protective ceramic coatings for hydrogen and nh3 plasma application
US20220037126A1 (en) * 2020-08-03 2022-02-03 Applied Materials, Inc. Fluoride coating to improve chamber performance
KR102497053B1 (en) 2020-09-25 2023-02-08 한국과학기술연구원 Densification method of plasma resistant coating layer using laser sintering
KR102496309B1 (en) 2020-09-25 2023-02-07 한국과학기술연구원 Method for forming plasma resistant coating layer using laser
US20230366074A1 (en) * 2022-05-16 2023-11-16 Andrei V. Ivanov Oxygen Interception for Air Plasma Spray Processes
WO2024097506A1 (en) * 2022-10-31 2024-05-10 Lam Research Corporation Refractory components for a semiconductor processing chamber
CN117265480B (en) * 2023-10-31 2024-05-10 华南理工大学 Preparation method of low-roughness yttrium oxide coating

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3961111A (en) * 1975-03-18 1976-06-01 Pennwalt Corporation Method of increasing corrosion resistance of anodized aluminum
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JPH03287797A (en) * 1990-04-03 1991-12-18 Sumitomo Electric Ind Ltd Corrosion resistant member
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
JP3308091B2 (en) * 1994-02-03 2002-07-29 東京エレクトロン株式会社 Surface treatment method and plasma treatment device
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
DE9421671U1 (en) 1994-08-26 1996-07-11 Siemens Ag Discharge chamber for a plasma etching system in semiconductor production
JP3164200B2 (en) 1995-06-15 2001-05-08 住友金属工業株式会社 Microwave plasma processing equipment
US5824605A (en) 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5838529A (en) 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5916454A (en) * 1996-08-30 1999-06-29 Lam Research Corporation Methods and apparatus for reducing byproduct particle generation in a plasma processing chamber
KR100296692B1 (en) 1996-09-10 2001-10-24 사토 도리 Plasma CVD
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
KR100311487B1 (en) 1997-12-16 2001-11-15 김영환 Method for etching of oxidation film
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6227140B1 (en) 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
KR20010062209A (en) 1999-12-10 2001-07-07 히가시 데쓰로 Processing apparatus with a chamber having therein a high-etching resistant sprayed film
JP3510993B2 (en) 1999-12-10 2004-03-29 トーカロ株式会社 Plasma processing container inner member and method for manufacturing the same
JP2001250814A (en) * 2000-03-06 2001-09-14 Hitachi Ltd Plasma treatment device
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
JP4651166B2 (en) * 2000-06-30 2011-03-16 京セラ株式会社 Corrosion resistant material
JP2002033309A (en) * 2000-07-18 2002-01-31 Hitachi Ltd Plasma treatment equipment and manufacturing method of part for the equipment
TWI290589B (en) 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers

Also Published As

Publication number Publication date
US20040002221A1 (en) 2004-01-01
KR101030935B1 (en) 2011-04-28
EP1518255B1 (en) 2012-02-08
EP1518255A2 (en) 2005-03-30
ATE545148T1 (en) 2012-02-15
KR101107542B1 (en) 2012-02-08
KR20050008855A (en) 2005-01-21
US7311797B2 (en) 2007-12-25
CN1663017A (en) 2005-08-31
TW200412827A (en) 2004-07-16
US20050150866A1 (en) 2005-07-14
JP2010283361A (en) 2010-12-16
TWI328411B (en) 2010-08-01
US7300537B2 (en) 2007-11-27
JP2005531157A (en) 2005-10-13
WO2004003962A2 (en) 2004-01-08
KR20110015676A (en) 2011-02-16
AU2003238006A8 (en) 2004-01-19
WO2004003962A3 (en) 2004-04-01

Similar Documents

Publication Publication Date Title
AU2003238006A1 (en) Thermal sprayed yttria-containing coating for plasma reactor
AU2003266489A1 (en) Corrosion protection on metals
AU2002228604A1 (en) Diamond coatings on reactor wall and method of manufacturing thereof
WO2009099661A3 (en) A protective coating for a plasma processing chamber part and a method of use
WO2006017070A3 (en) Protective cotaing on a substrate and method of making thereof
MXPA04000875A (en) Highly anti-corrosive metal pigments.
WO2011031556A3 (en) Gas distribution showerhead and method of cleaning
MXPA03006871A (en) Corrosion resistant coating giving polished effect.
UA100522C2 (en) Pretreatment composition and methods for coating metal substrate and method for treatment of metal substrate
HK1072279A1 (en) Corona-generated chemical vapor deposition on a substrate
MY163497A (en) Particulate metal alloy coating for providing corrosion protection
WO2012027009A3 (en) Gas distribution showerhead with high emissivity surface
MX9206475A (en) METHOD FOR COATING A METAL SUBSTRATE, TO PROVIDE PROTECTION AGAINST CORROSION
WO2008127289A3 (en) Materials coatings and methods for self-cleaning and self-decontamination of metal surfaces
TW200511422A (en) Treatment or processing of substrate surfaces
WO2008018956A3 (en) Coating composition, article, and associated method
NO891902D0 (en) MULTIPLE PROTECTIVE COATING FOR A COAT, AND PROCEDURE TO PROTECT A COAT WITH SUCH COAT.
TW200700476A (en) Conductively coated substrates derived from biaxially-oriented and heat-set polyester film
MY152144A (en) System and method for deposition of a material on a substrate
US20120161591A1 (en) Golden color enclosure and method for making same
DK0493326T3 (en) Substrate with improved melt-sprayed surface morphology
EP1548150A4 (en) Plasma processing system and its substrate processing process, plasma enhanced chemical vapor deposition system and its film deposition process
AU2001292660A1 (en) Hydrophilic surfaces carrying temporary protective covers
MX2021009612A (en) Systems for treating a metal substrate.
Angelini et al. Plasma treatments for the cleaning and protection of metallic heritage artefacts

Legal Events

Date Code Title Description
MK6 Application lapsed section 142(2)(f)/reg. 8.3(3) - pct applic. not entering national phase