GB9618897D0
(en)
|
1996-09-10 |
1996-10-23 |
Bio Rad Micromeasurements Ltd |
Micro defects in silicon wafers
|
US7688435B2
(en)
*
|
1997-09-22 |
2010-03-30 |
Kla-Tencor Corporation |
Detecting and classifying surface features or defects by controlling the angle of the illumination plane of incidence with respect to the feature or defect
|
US7630086B2
(en)
*
|
1997-09-22 |
2009-12-08 |
Kla-Tencor Corporation |
Surface finish roughness measurement
|
US7083327B1
(en)
*
|
1999-04-06 |
2006-08-01 |
Thermal Wave Imaging, Inc. |
Method and apparatus for detecting kissing unbond defects
|
EP1065567A3
(en)
*
|
1999-06-29 |
2001-05-16 |
Applied Materials, Inc. |
Integrated critical dimension control
|
US8531678B2
(en)
|
1999-07-09 |
2013-09-10 |
Nova Measuring Instruments, Ltd. |
Method and system for measuring patterned structures
|
IL130874A
(en)
*
|
1999-07-09 |
2002-12-01 |
Nova Measuring Instr Ltd |
System and method for measuring patterned structures
|
CN1168126C
(en)
*
|
1999-09-28 |
2004-09-22 |
松下电器产业株式会社 |
Electronic device and method of manufacture thereof
|
FR2810108B1
(en)
*
|
2000-06-09 |
2004-04-02 |
France Telecom |
LOW NOISE SPECTROSCOPIC ELLIPSOMETER
|
US7317531B2
(en)
*
|
2002-12-05 |
2008-01-08 |
Kla-Tencor Technologies Corporation |
Apparatus and methods for detecting overlay errors using scatterometry
|
US7541201B2
(en)
|
2000-08-30 |
2009-06-02 |
Kla-Tencor Technologies Corporation |
Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
|
IL138552A
(en)
*
|
2000-09-19 |
2006-08-01 |
Nova Measuring Instr Ltd |
Lateral shift measurement using an optical technique
|
US7130029B2
(en)
*
|
2000-09-20 |
2006-10-31 |
Kla-Tencor Technologies Corp. |
Methods and systems for determining an adhesion characteristic and a thickness of a specimen
|
EP1319244A1
(en)
*
|
2000-09-20 |
2003-06-18 |
Kla-Tencor Inc. |
Methods and systems for semiconductor fabrication processes
|
US6891627B1
(en)
|
2000-09-20 |
2005-05-10 |
Kla-Tencor Technologies Corp. |
Methods and systems for determining a critical dimension and overlay of a specimen
|
US6625497B2
(en)
*
|
2000-11-20 |
2003-09-23 |
Applied Materials Inc. |
Semiconductor processing module with integrated feedback/feed forward metrology
|
US6365422B1
(en)
*
|
2001-01-22 |
2002-04-02 |
Advanced Micro Devices, Inc. |
Automated variation of stepper exposure dose based upon across wafer variations in device characteristics, and system for accomplishing same
|
KR100403862B1
(en)
*
|
2001-01-26 |
2003-11-01 |
어플라이드비전텍(주) |
Apparatus for inspecting semiconductor wafer and the methods thereof
|
US6597446B2
(en)
*
|
2001-03-22 |
2003-07-22 |
Sentec Corporation |
Holographic scatterometer for detection and analysis of wafer surface deposits
|
US20030002043A1
(en)
|
2001-04-10 |
2003-01-02 |
Kla-Tencor Corporation |
Periodic patterns and technique to control misalignment
|
US6999164B2
(en)
*
|
2001-04-26 |
2006-02-14 |
Tokyo Electron Limited |
Measurement system cluster
|
WO2002091248A1
(en)
*
|
2001-05-04 |
2002-11-14 |
Therma-Wave, Inc. |
Systems and methods for metrology recipe and model generation
|
DE10124609B4
(en)
*
|
2001-05-17 |
2012-12-27 |
Aixtron Se |
Method for depositing active layers on substrates
|
ATE352860T1
(en)
*
|
2001-06-08 |
2007-02-15 |
Univ Maine |
TRANSMISSION GRID FOR USE IN PARTICLE BEAM MEASURING APPARATUS AND METHOD FOR PRODUCING THE GRID
|
US7006221B2
(en)
*
|
2001-07-13 |
2006-02-28 |
Rudolph Technologies, Inc. |
Metrology system with spectroscopic ellipsometer and photoacoustic measurements
|
US6847464B1
(en)
*
|
2001-08-02 |
2005-01-25 |
Zygo Corporation |
Measurement of photolithographic features
|
US6900888B2
(en)
*
|
2001-09-13 |
2005-05-31 |
Hitachi High-Technologies Corporation |
Method and apparatus for inspecting a pattern formed on a substrate
|
US6940592B2
(en)
*
|
2001-10-09 |
2005-09-06 |
Applied Materials, Inc. |
Calibration as well as measurement on the same workpiece during fabrication
|
EP1744348A3
(en)
*
|
2001-11-02 |
2007-06-20 |
Ebara Corporation |
A semiconductor manufacturing apparatus having a built-in inspection apparatus and method therefor
|
US6856384B1
(en)
|
2001-12-13 |
2005-02-15 |
Nanometrics Incorporated |
Optical metrology system with combined interferometer and ellipsometer
|
US7035877B2
(en)
|
2001-12-28 |
2006-04-25 |
Kimberly-Clark Worldwide, Inc. |
Quality management and intelligent manufacturing with labels and smart tags in event-based product manufacturing
|
US7032816B2
(en)
*
|
2001-12-28 |
2006-04-25 |
Kimberly-Clark Worldwide, Inc. |
Communication between machines and feed-forward control in event-based product manufacturing
|
US8799113B2
(en)
*
|
2001-12-28 |
2014-08-05 |
Binforma Group Limited Liability Company |
Quality management by validating a bill of materials in event-based product manufacturing
|
US20040032581A1
(en)
*
|
2002-01-15 |
2004-02-19 |
Mehrdad Nikoonahad |
Systems and methods for inspection of specimen surfaces
|
US7236847B2
(en)
*
|
2002-01-16 |
2007-06-26 |
Kla-Tencor Technologies Corp. |
Systems and methods for closed loop defect reduction
|
US6847443B1
(en)
*
|
2002-01-17 |
2005-01-25 |
Rudolph Technologies, Inc. |
System and method for multi-wavelength, narrow-bandwidth detection of surface defects
|
US6884146B2
(en)
*
|
2002-02-04 |
2005-04-26 |
Kla-Tencor Technologies Corp. |
Systems and methods for characterizing a polishing process
|
US6721939B2
(en)
*
|
2002-02-19 |
2004-04-13 |
Taiwan Semiconductor Manufacturing Co., Ltd |
Electron beam shot linearity monitoring
|
US7049586B2
(en)
*
|
2002-02-21 |
2006-05-23 |
Applied Material Israel, Ltd. |
Multi beam scanning with bright/dark field imaging
|
US7169685B2
(en)
*
|
2002-02-25 |
2007-01-30 |
Micron Technology, Inc. |
Wafer back side coating to balance stress from passivation layer on front of wafer and be used as die attach adhesive
|
JP4030787B2
(en)
*
|
2002-03-04 |
2008-01-09 |
東京エレクトロン株式会社 |
Substrate heating method, substrate heating apparatus, coating and developing apparatus
|
TWI252516B
(en)
*
|
2002-03-12 |
2006-04-01 |
Toshiba Corp |
Determination method of process parameter and method for determining at least one of process parameter and design rule
|
US6886423B2
(en)
*
|
2002-03-27 |
2005-05-03 |
Rudolph Technologies, Inc. |
Scalable, automated metrology system and method of making the system
|
JP4106948B2
(en)
*
|
2002-03-29 |
2008-06-25 |
東京エレクトロン株式会社 |
Processed object jump detection device, process object jump detection method, plasma processing apparatus, and plasma processing method
|
TW531822B
(en)
*
|
2002-03-29 |
2003-05-11 |
Taiwan Semiconductor Mfg |
Method for controlling inter-field critical dimensions of wafer
|
US6792328B2
(en)
*
|
2002-03-29 |
2004-09-14 |
Timbre Technologies, Inc. |
Metrology diffraction signal adaptation for tool-to-tool matching
|
US7322250B1
(en)
*
|
2002-04-09 |
2008-01-29 |
Rockwell Automation Technologies, Inc. |
System and method for sensing torque on a rotating shaft
|
US20030197872A1
(en)
*
|
2002-04-17 |
2003-10-23 |
Littau Michael E. |
Scatterometric measurement of undercut multi-layer diffracting signatures
|
KR101010492B1
(en)
*
|
2002-04-18 |
2011-01-21 |
어플라이드 머티어리얼스, 인코포레이티드 |
Thermal flux processing by scanning electromagnetic radiation
|
US20070258085A1
(en)
*
|
2006-05-02 |
2007-11-08 |
Robbins Michael D |
Substrate illumination and inspection system
|
US6978189B1
(en)
*
|
2002-05-28 |
2005-12-20 |
Advanced Micro Devices, Inc. |
Matching data related to multiple metrology tools
|
US6775015B2
(en)
*
|
2002-06-18 |
2004-08-10 |
Timbre Technologies, Inc. |
Optical metrology of single features
|
US6819844B2
(en)
*
|
2002-06-20 |
2004-11-16 |
The Boeing Company |
Fiber-optic based surface spectroscopy
|
JP4995419B2
(en)
*
|
2002-06-28 |
2012-08-08 |
東京エレクトロン株式会社 |
Method and system for controlling a process using material process tools and performance data
|
US7012438B1
(en)
|
2002-07-10 |
2006-03-14 |
Kla-Tencor Technologies Corp. |
Methods and systems for determining a property of an insulating film
|
US6826451B2
(en)
*
|
2002-07-29 |
2004-11-30 |
Asml Holding N.V. |
Lithography tool having a vacuum reticle library coupled to a vacuum chamber
|
SG102718A1
(en)
*
|
2002-07-29 |
2004-03-26 |
Asml Holding Nv |
Lithography tool having a vacuum reticle library coupled to a vacuum chamber
|
FR2843487B1
(en)
*
|
2002-08-12 |
2005-10-14 |
|
THIN LAYER ENABLING PROCESS COMPRISING SACRIFICIAL OXIDATION THICKNESS CORRECTION STEP AND ASSOCIATED MACHINE
|
US6908774B2
(en)
|
2002-08-12 |
2005-06-21 |
S.O. I. Tec Silicon On Insulator Technologies S.A. |
Method and apparatus for adjusting the thickness of a thin layer of semiconductor material
|
ATE484847T1
(en)
*
|
2002-08-12 |
2010-10-15 |
Soitec Silicon On Insulator |
METHOD FOR PRODUCING A THIN LAYER INCLUDING A STEP OF CORRECTING THE THICKNESS BY AUXILIARY OXIDATION AND ASSOCIATED APPARATUS
|
US20040087042A1
(en)
*
|
2002-08-12 |
2004-05-06 |
Bruno Ghyselen |
Method and apparatus for adjusting the thickness of a layer of semiconductor material
|
FR2843486B1
(en)
*
|
2002-08-12 |
2005-09-23 |
Soitec Silicon On Insulator |
PROCESS FOR PRODUCING SEMICONDUCTOR THIN FILMS COMPRISING A FINISHING STEP
|
DE10239548A1
(en)
*
|
2002-08-23 |
2004-03-04 |
Leica Microsystems Semiconductor Gmbh |
Device and method for inspecting an object
|
JP2004146782A
(en)
*
|
2002-08-29 |
2004-05-20 |
Advanced Lcd Technologies Development Center Co Ltd |
In-situ monitoring method in crystallization state
|
US7139081B2
(en)
|
2002-09-09 |
2006-11-21 |
Zygo Corporation |
Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
|
US7869057B2
(en)
|
2002-09-09 |
2011-01-11 |
Zygo Corporation |
Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
|
US6963393B2
(en)
*
|
2002-09-23 |
2005-11-08 |
Applied Materials, Inc. |
Measurement of lateral diffusion of diffused layers
|
US20040207836A1
(en)
*
|
2002-09-27 |
2004-10-21 |
Rajeshwar Chhibber |
High dynamic range optical inspection system and method
|
US6934032B1
(en)
*
|
2002-09-30 |
2005-08-23 |
Advanced Micro Devices, Inc. |
Copper oxide monitoring by scatterometry/ellipsometry during nitride or BLOK removal in damascene process
|
US6954678B1
(en)
*
|
2002-09-30 |
2005-10-11 |
Advanced Micro Devices, Inc. |
Artificial intelligence system for track defect problem solving
|
US6724476B1
(en)
*
|
2002-10-01 |
2004-04-20 |
Advanced Micro Devices, Inc. |
Low defect metrology approach on clean track using integrated metrology
|
US7968354B1
(en)
*
|
2002-10-04 |
2011-06-28 |
Kla-Tencor Technologies Corp. |
Methods for correlating backside and frontside defects detected on a specimen and classification of backside defects
|
TW577135B
(en)
*
|
2002-10-21 |
2004-02-21 |
Au Optronics Corp |
Die size control for polysilicon film and the inspection method thereof
|
TW564513B
(en)
*
|
2002-10-21 |
2003-12-01 |
Au Optronics Corp |
Testing apparatus for polysilicon thin film crystal quality, test and control method thereof
|
KR100492159B1
(en)
*
|
2002-10-30 |
2005-06-02 |
삼성전자주식회사 |
Apparatus for inspecting a substrate
|
US6875622B1
(en)
*
|
2002-11-01 |
2005-04-05 |
Advanced Micro Devices, Inc. |
Method and apparatus for determining electromagnetic properties of a process layer using scatterometry measurements
|
US7248062B1
(en)
*
|
2002-11-04 |
2007-07-24 |
Kla-Tencor Technologies Corp. |
Contactless charge measurement of product wafers and control of corona generation and deposition
|
KR100540865B1
(en)
*
|
2002-11-06 |
2006-01-11 |
삼성전자주식회사 |
Concentration measurement and method of dopant concentration measurement of semiconductor device
|
JP3878107B2
(en)
*
|
2002-11-06 |
2007-02-07 |
株式会社日立ハイテクノロジーズ |
Defect inspection method and apparatus
|
US7931028B2
(en)
|
2003-08-26 |
2011-04-26 |
Jay Harvey H |
Skin injury or damage prevention method using optical radiation
|
US20040090629A1
(en)
*
|
2002-11-08 |
2004-05-13 |
Emmanuel Drege |
Diffraction order selection for optical metrology simulation
|
US7239932B2
(en)
*
|
2002-11-11 |
2007-07-03 |
Micron Technology, Inc. |
Methods and apparatus for calibrating programmable material consolidation apparatus
|
US6867862B2
(en)
*
|
2002-11-20 |
2005-03-15 |
Mehrdad Nikoonahad |
System and method for characterizing three-dimensional structures
|
US7440105B2
(en)
*
|
2002-12-05 |
2008-10-21 |
Kla-Tencor Technologies Corporation |
Continuously varying offset mark and methods of determining overlay
|
JP4746987B2
(en)
|
2002-12-05 |
2011-08-10 |
ケーエルエー−テンカー コーポレイション |
Apparatus and method for detecting overlay error using scatterometry
|
US7112961B2
(en)
*
|
2002-12-13 |
2006-09-26 |
Applied Materials, Inc. |
Method and apparatus for dynamically measuring the thickness of an object
|
US6895360B2
(en)
*
|
2002-12-17 |
2005-05-17 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method to measure oxide thickness by FTIR to improve an in-line CMP endpoint determination
|
US6800852B2
(en)
*
|
2002-12-27 |
2004-10-05 |
Revera Incorporated |
Nondestructive characterization of thin films using measured basis spectra
|
US7006224B2
(en)
*
|
2002-12-30 |
2006-02-28 |
Applied Materials, Israel, Ltd. |
Method and system for optical inspection of an object
|
JP3867048B2
(en)
*
|
2003-01-08 |
2007-01-10 |
株式会社日立ハイテクノロジーズ |
Monochromator and scanning electron microscope using the same
|
US6950190B2
(en)
*
|
2003-01-09 |
2005-09-27 |
Therma-Wave, Inc. |
Scatterometry for junction metrology
|
US7126131B2
(en)
|
2003-01-16 |
2006-10-24 |
Metrosol, Inc. |
Broad band referencing reflectometer
|
US8564780B2
(en)
|
2003-01-16 |
2013-10-22 |
Jordan Valley Semiconductors Ltd. |
Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work pieces
|
US20080246951A1
(en)
*
|
2007-04-09 |
2008-10-09 |
Phillip Walsh |
Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work-pieces
|
US7116429B1
(en)
*
|
2003-01-18 |
2006-10-03 |
Walecki Wojciech J |
Determining thickness of slabs of materials by inventors
|
JP4652667B2
(en)
*
|
2003-02-13 |
2011-03-16 |
キヤノン株式会社 |
Surface position measuring method and scanning exposure apparatus
|
WO2004076993A2
(en)
*
|
2003-02-26 |
2004-09-10 |
Castonguay Raymond J |
Spherical light-scatter and far-field phase measurement
|
KR100538092B1
(en)
*
|
2003-02-27 |
2005-12-21 |
삼성전자주식회사 |
Method of monitoring a depth profile of impurities density
|
US7081369B2
(en)
|
2003-02-28 |
2006-07-25 |
Intel Corporation |
Forming a semiconductor device feature using acquired parameters
|
US7106454B2
(en)
|
2003-03-06 |
2006-09-12 |
Zygo Corporation |
Profiling complex surface structures using scanning interferometry
|
US7324214B2
(en)
|
2003-03-06 |
2008-01-29 |
Zygo Corporation |
Interferometer and method for measuring characteristics of optically unresolved surface features
|
DE10311855B4
(en)
*
|
2003-03-17 |
2005-04-28 |
Infineon Technologies Ag |
Arrangement for transferring information / structures to wafers using a stamp
|
US20060082762A1
(en)
*
|
2003-03-26 |
2006-04-20 |
Chad Leverette |
Automated polarized light microscope combined with a spectroscopy/spectral imaging apparatus
|
JP4220287B2
(en)
*
|
2003-03-31 |
2009-02-04 |
株式会社東芝 |
Pattern defect inspection system
|
US7916308B2
(en)
*
|
2003-04-01 |
2011-03-29 |
Seagate Technology Llc |
Method and optical profiler
|
US7352456B2
(en)
*
|
2003-04-08 |
2008-04-01 |
Kla-Tencor Technologies Corp. |
Method and apparatus for inspecting a substrate using a plurality of inspection wavelength regimes
|
GB0308182D0
(en)
*
|
2003-04-09 |
2003-05-14 |
Aoti Operating Co Inc |
Detection method and apparatus
|
JP4408025B2
(en)
*
|
2003-04-24 |
2010-02-03 |
株式会社リコー |
Misregistration pattern detection device, optical writing device, image forming device, and copying machine
|
US6968253B2
(en)
|
2003-05-07 |
2005-11-22 |
Kla-Tencor Technologies Corp. |
Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process
|
TW200506375A
(en)
*
|
2003-05-16 |
2005-02-16 |
Tokyo Electron Ltd |
Inspection apparatus
|
WO2004107422A2
(en)
*
|
2003-05-27 |
2004-12-09 |
Ebara Corporation |
Plating apparatus and plating method
|
TWI283817B
(en)
*
|
2003-05-30 |
2007-07-11 |
Tokyo Electron Ltd |
Method of operating a process control system and method of operating an advanced process control system
|
US7365834B2
(en)
*
|
2003-06-24 |
2008-04-29 |
Kla-Tencor Technologies Corporation |
Optical system for detecting anomalies and/or features of surfaces
|
JP2005015885A
(en)
*
|
2003-06-27 |
2005-01-20 |
Ebara Corp |
Substrate processing method and apparatus
|
US6822472B1
(en)
|
2003-06-27 |
2004-11-23 |
International Business Machines Corporation |
Detection of hard mask remaining on a surface of an insulating layer
|
US7608468B1
(en)
*
|
2003-07-02 |
2009-10-27 |
Kla-Tencor Technologies, Corp. |
Apparatus and methods for determining overlay and uses of same
|
US7280200B2
(en)
*
|
2003-07-18 |
2007-10-09 |
Ade Corporation |
Detection of a wafer edge using collimated light
|
US7106434B1
(en)
|
2003-07-28 |
2006-09-12 |
Kla-Tencor Technologies, Inc. |
Inspection tool
|
US7153185B1
(en)
*
|
2003-08-18 |
2006-12-26 |
Applied Materials, Inc. |
Substrate edge detection
|
US6829056B1
(en)
|
2003-08-21 |
2004-12-07 |
Michael Barnes |
Monitoring dimensions of features at different locations in the processing of substrates
|
DE10339227B4
(en)
*
|
2003-08-26 |
2014-05-28 |
Byk Gardner Gmbh |
Method and device for characterizing surfaces
|
JP4607517B2
(en)
*
|
2003-09-03 |
2011-01-05 |
東京エレクトロン株式会社 |
Plasma processing equipment
|
US7738089B2
(en)
*
|
2003-09-04 |
2010-06-15 |
Kla-Tencor Technologies Corp. |
Methods and systems for inspection of a specimen using different inspection parameters
|
US7430898B1
(en)
*
|
2003-09-04 |
2008-10-07 |
Kla-Tencor Technologies Corp. |
Methods and systems for analyzing a specimen using atomic force microscopy profiling in combination with an optical technique
|
JP4761431B2
(en)
*
|
2003-09-09 |
2011-08-31 |
セイコーインスツル株式会社 |
Manufacturing method of semiconductor device
|
JP4880888B2
(en)
*
|
2003-09-09 |
2012-02-22 |
セイコーインスツル株式会社 |
Manufacturing method of semiconductor device
|
JP4880889B2
(en)
*
|
2003-09-09 |
2012-02-22 |
セイコーインスツル株式会社 |
Manufacturing method of semiconductor device
|
WO2005029192A2
(en)
|
2003-09-15 |
2005-03-31 |
Zygo Corporation |
Surface triangulation and profiling through a thin film coating
|
CN100465788C
(en)
*
|
2003-10-27 |
2009-03-04 |
三星电子株式会社 |
Lithography equipment
|
US7304310B1
(en)
*
|
2003-11-21 |
2007-12-04 |
Kla-Tencor Technologies Corp. |
Methods and systems for inspecting a specimen using light scattered in different wavelength ranges
|
US7822236B2
(en)
*
|
2004-01-07 |
2010-10-26 |
Identification International, Inc. |
Low power fingerprint capture system, apparatus, and method
|
US20050157308A1
(en)
*
|
2004-01-15 |
2005-07-21 |
Andrei Brunfeld |
Apparatus and method for measuring thickness variation of wax film
|
KR100568254B1
(en)
*
|
2004-02-06 |
2006-04-07 |
삼성전자주식회사 |
Electronic device fabrication method being capable of controlling threshold voltage, and ion implanter controller and ion implanting system used therein
|
US7019835B2
(en)
*
|
2004-02-19 |
2006-03-28 |
Molecular Imprints, Inc. |
Method and system to measure characteristics of a film disposed on a substrate
|
US7415317B2
(en)
*
|
2004-02-25 |
2008-08-19 |
Micron Technology, Inc. |
Method and system for correlating and combining production and non-production data for analysis
|
US7395130B2
(en)
*
|
2004-02-27 |
2008-07-01 |
Micron Technology, Inc. |
Method and system for aggregating and combining manufacturing data for analysis
|
US7523076B2
(en)
*
|
2004-03-01 |
2009-04-21 |
Tokyo Electron Limited |
Selecting a profile model for use in optical metrology using a machine learning system
|
US7078712B2
(en)
*
|
2004-03-18 |
2006-07-18 |
Axcelis Technologies, Inc. |
In-situ monitoring on an ion implanter
|
WO2005092025A2
(en)
*
|
2004-03-22 |
2005-10-06 |
Kla-Tencor Technologies Corp. |
Methods and systems for measuring a characteristic of a substrate or preparing a substrate for analysis
|
US7388677B2
(en)
*
|
2004-03-22 |
2008-06-17 |
Timbre Technologies, Inc. |
Optical metrology optimization for repetitive structures
|
US20050211896A1
(en)
*
|
2004-03-23 |
2005-09-29 |
International Business Machines Corporation |
Pt coating initiated by indirect electron beam for resist contact hole metrology
|
TWI249615B
(en)
*
|
2004-03-26 |
2006-02-21 |
Optimax Tech Corp |
Method and device for testing polarization sheet
|
DE102004015326A1
(en)
*
|
2004-03-30 |
2005-10-20 |
Leica Microsystems |
Apparatus and method for inspecting a semiconductor device
|
US20050220984A1
(en)
*
|
2004-04-02 |
2005-10-06 |
Applied Materials Inc., A Delaware Corporation |
Method and system for control of processing conditions in plasma processing systems
|
TW200540939A
(en)
*
|
2004-04-22 |
2005-12-16 |
Olympus Corp |
Defect inspection device and substrate manufacturing system using the same
|
US7076320B1
(en)
*
|
2004-05-04 |
2006-07-11 |
Advanced Micro Devices, Inc. |
Scatterometry monitor in cluster process tool environment for advanced process control (APC)
|
US7301149B2
(en)
*
|
2004-05-06 |
2007-11-27 |
The Board Of Trustees Of The University Of Illinois |
Apparatus and method for determining a thickness of a deposited material
|
JP4357355B2
(en)
*
|
2004-05-07 |
2009-11-04 |
株式会社日立ハイテクノロジーズ |
Pattern inspection method and apparatus
|
US7773216B2
(en)
*
|
2004-05-10 |
2010-08-10 |
Panasonic Corporation |
Composite sheet material selection method for use in ultra-fast laser patterning
|
US7254290B1
(en)
*
|
2004-05-10 |
2007-08-07 |
Lockheed Martin Corporation |
Enhanced waveguide metrology gauge collimator
|
US7349079B2
(en)
*
|
2004-05-14 |
2008-03-25 |
Kla-Tencor Technologies Corp. |
Methods for measurement or analysis of a nitrogen concentration of a specimen
|
EP1747434B1
(en)
*
|
2004-05-14 |
2011-07-27 |
KLA-Tencor Technologies Corporation |
Systems for measurement or analysis of a specimen using vuv light
|
US7359052B2
(en)
*
|
2004-05-14 |
2008-04-15 |
Kla-Tencor Technologies Corp. |
Systems and methods for measurement of a specimen with vacuum ultraviolet light
|
US7067819B2
(en)
*
|
2004-05-14 |
2006-06-27 |
Kla-Tencor Technologies Corp. |
Systems and methods for measurement or analysis of a specimen using separated spectral peaks in light
|
US7564552B2
(en)
*
|
2004-05-14 |
2009-07-21 |
Kla-Tencor Technologies Corp. |
Systems and methods for measurement of a specimen with vacuum ultraviolet light
|
JP4714427B2
(en)
*
|
2004-05-14 |
2011-06-29 |
株式会社荏原製作所 |
Method for polishing thin film formed on substrate
|
TW200604695A
(en)
*
|
2004-05-18 |
2006-02-01 |
Zygo Corp |
Methods and systems for determining optical properties using low-coherence interference signals
|
KR100541820B1
(en)
*
|
2004-05-28 |
2006-01-11 |
삼성전자주식회사 |
Particle detector for use in fabricating semiconductor device
|
IL162290A
(en)
*
|
2004-06-01 |
2013-06-27 |
Nova Measuring Instr Ltd |
Optical measurement device
|
US7397596B2
(en)
*
|
2004-07-28 |
2008-07-08 |
Ler Technologies, Inc. |
Surface and subsurface detection sensor
|
US7239389B2
(en)
*
|
2004-07-29 |
2007-07-03 |
Applied Materials, Israel, Ltd. |
Determination of irradiation parameters for inspection of a surface
|
US7327448B2
(en)
*
|
2004-07-29 |
2008-02-05 |
Optech Ventures Llc |
Laser-ultrasonic detection of flip chip attachment defects
|
KR100568703B1
(en)
*
|
2004-08-10 |
2006-04-07 |
삼성전자주식회사 |
Thickness measurement method of metal layer and thickness measurement apparatus using the same
|
US7663097B2
(en)
*
|
2004-08-11 |
2010-02-16 |
Metrosol, Inc. |
Method and apparatus for accurate calibration of a reflectometer by using a relative reflectance measurement
|
US7804059B2
(en)
*
|
2004-08-11 |
2010-09-28 |
Jordan Valley Semiconductors Ltd. |
Method and apparatus for accurate calibration of VUV reflectometer
|
US7399975B2
(en)
*
|
2004-08-11 |
2008-07-15 |
Metrosol, Inc. |
Method and apparatus for performing highly accurate thin film measurements
|
US7511265B2
(en)
*
|
2004-08-11 |
2009-03-31 |
Metrosol, Inc. |
Method and apparatus for accurate calibration of a reflectometer by using a relative reflectance measurement
|
US7282703B2
(en)
*
|
2004-08-11 |
2007-10-16 |
Metrosol, Inc. |
Method and apparatus for accurate calibration of a reflectometer by using a relative reflectance measurement
|
US7052921B1
(en)
*
|
2004-09-03 |
2006-05-30 |
Advanced Micro Devices, Inc. |
System and method using in situ scatterometry to detect photoresist pattern integrity during the photolithography process
|
JP2006093634A
(en)
*
|
2004-09-27 |
2006-04-06 |
Advanced Lcd Technologies Development Center Co Ltd |
Semiconductor device, intensity distribution measuring method laser annealing device and crystallization method
|
US20060077403A1
(en)
*
|
2004-10-13 |
2006-04-13 |
Zaidi Shoaib H |
Optical system and method for measuring small dimensions
|
JP4537834B2
(en)
*
|
2004-11-16 |
2010-09-08 |
富士通セミコンダクター株式会社 |
Manufacturing method of semiconductor device
|
US8084260B2
(en)
*
|
2004-11-24 |
2011-12-27 |
Applied Biosystems, Llc |
Spectral calibration method and system for multiple instruments
|
US20060114478A1
(en)
*
|
2004-11-26 |
2006-06-01 |
Applied Materials, Inc. |
Evaluating effects of tilt angle in ion implantation
|
US7280229B2
(en)
*
|
2004-12-03 |
2007-10-09 |
Timbre Technologies, Inc. |
Examining a structure formed on a semiconductor wafer using machine learning systems
|
US20070091325A1
(en)
*
|
2005-01-07 |
2007-04-26 |
Mehrdad Nikoonahad |
Multi-channel optical metrology
|
US7884947B2
(en)
|
2005-01-20 |
2011-02-08 |
Zygo Corporation |
Interferometry for determining characteristics of an object surface, with spatially coherent illumination
|
US7616323B2
(en)
*
|
2005-01-20 |
2009-11-10 |
Zygo Corporation |
Interferometer with multiple modes of operation for determining characteristics of an object surface
|
US7593100B2
(en)
*
|
2005-01-24 |
2009-09-22 |
Nikon Corporation |
Measuring method, measuring system, inspecting method, inspecting system, exposure method and exposure system, in which information as to the degree of the flatness of an object is pre-obtained
|
US20060164649A1
(en)
*
|
2005-01-24 |
2006-07-27 |
Eliezer Rosengaus |
Multi-spectral techniques for defocus detection
|
KR101193432B1
(en)
|
2005-01-24 |
2012-10-24 |
가부시키가이샤 니콘 |
Measurement method, measurement system, inspection method, inspection system, exposure method, and exposure system
|
US7478019B2
(en)
*
|
2005-01-26 |
2009-01-13 |
Kla-Tencor Corporation |
Multiple tool and structure analysis
|
US6955931B1
(en)
*
|
2005-02-10 |
2005-10-18 |
Advanced Micro Devices, Inc. |
Method for detecting silicide encroachment of a gate electrode in a semiconductor arrangement
|
US7408641B1
(en)
|
2005-02-14 |
2008-08-05 |
Kla-Tencor Technologies Corp. |
Measurement systems configured to perform measurements of a specimen and illumination subsystems configured to provide illumination for a measurement system
|
US7179665B1
(en)
*
|
2005-02-17 |
2007-02-20 |
Midwest Research Institute |
Optical method for determining the doping depth profile in silicon
|
US20060186406A1
(en)
*
|
2005-02-18 |
2006-08-24 |
Texas Instruments Inc. |
Method and system for qualifying a semiconductor etch process
|
US20060187466A1
(en)
*
|
2005-02-18 |
2006-08-24 |
Timbre Technologies, Inc. |
Selecting unit cell configuration for repeating structures in optical metrology
|
US20060196527A1
(en)
*
|
2005-02-23 |
2006-09-07 |
Tokyo Electron Limited |
Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods
|
US20060219947A1
(en)
*
|
2005-03-03 |
2006-10-05 |
Asml Netherlands B.V. |
Dedicated metrology stage for lithography applications
|
US8547522B2
(en)
*
|
2005-03-03 |
2013-10-01 |
Asml Netherlands B.V. |
Dedicated metrology stage for lithography applications
|
US7918293B1
(en)
|
2005-03-09 |
2011-04-05 |
Us Synthetic Corporation |
Method and system for perceiving a boundary between a first region and a second region of a superabrasive volume
|
US7148073B1
(en)
|
2005-03-15 |
2006-12-12 |
Kla-Tencor Technologies Corp. |
Methods and systems for preparing a copper containing substrate for analysis
|
US20090053021A1
(en)
*
|
2005-03-29 |
2009-02-26 |
Norichika Yamagishi |
Semiconductor manufacturing apparatus
|
US7421414B2
(en)
*
|
2005-03-31 |
2008-09-02 |
Timbre Technologies, Inc. |
Split machine learning systems
|
US7351980B2
(en)
*
|
2005-03-31 |
2008-04-01 |
Kla-Tencor Technologies Corp. |
All-reflective optical systems for broadband wafer inspection
|
US7115866B1
(en)
*
|
2005-04-28 |
2006-10-03 |
Kla-Tencor Technologies, Inc. |
Site stepping for electron beam micro analysis
|
US7420163B2
(en)
*
|
2005-04-29 |
2008-09-02 |
Revera Incorporated |
Determining layer thickness using photoelectron spectroscopy
|
US7161669B2
(en)
|
2005-05-06 |
2007-01-09 |
Kla- Tencor Technologies Corporation |
Wafer edge inspection
|
JP5059297B2
(en)
*
|
2005-05-09 |
2012-10-24 |
株式会社日立ハイテクノロジーズ |
Electron beam observation device
|
US7315384B2
(en)
*
|
2005-05-10 |
2008-01-01 |
Asml Netherlands B.V. |
Inspection apparatus and method of inspection
|
US7477958B2
(en)
*
|
2005-05-11 |
2009-01-13 |
International Business Machines Corporation |
Method of release and product flow management for a manufacturing facility
|
DE102005025291B4
(en)
*
|
2005-06-02 |
2019-07-25 |
Byk-Gardner Gmbh |
Method and device for determining surface properties
|
US7358199B2
(en)
*
|
2005-06-09 |
2008-04-15 |
United Microelectronics Corp. |
Method of fabricating semiconductor integrated circuits
|
US7279657B2
(en)
*
|
2005-06-13 |
2007-10-09 |
Applied Materials, Inc. |
Scanned rapid thermal processing with feed forward control
|
US7433034B1
(en)
|
2005-06-17 |
2008-10-07 |
Nanometrics Incorporated |
Darkfield defect inspection with spectral contents
|
US20070009010A1
(en)
*
|
2005-06-23 |
2007-01-11 |
Koji Shio |
Wafer temperature measuring method and apparatus
|
US20070000434A1
(en)
*
|
2005-06-30 |
2007-01-04 |
Accent Optical Technologies, Inc. |
Apparatuses and methods for detecting defects in semiconductor workpieces
|
TWI391645B
(en)
*
|
2005-07-06 |
2013-04-01 |
Nanometrics Inc |
Differential wavelength photoluminescence for non-contact measuring of contaminants and defects located below the surface of a wafer or other workpiece
|
TWI439684B
(en)
*
|
2005-07-06 |
2014-06-01 |
Nanometrics Inc |
Photoluminescence imaging with preferential detection of photoluminescence signals emitted from a specified material layer of a wafer or other workpiece
|
US20070008526A1
(en)
*
|
2005-07-08 |
2007-01-11 |
Andrzej Buczkowski |
Apparatus and method for non-contact assessment of a constituent in semiconductor workpieces
|
US7433056B1
(en)
*
|
2005-07-15 |
2008-10-07 |
Kla-Tencor Technologies Corporation |
Scatterometry metrology using inelastic scattering
|
JP2007027478A
(en)
*
|
2005-07-19 |
2007-02-01 |
Sharp Corp |
Etching method and etching device
|
US7394067B1
(en)
|
2005-07-20 |
2008-07-01 |
Kla-Tencor Technologies Corp. |
Systems and methods for reducing alteration of a specimen during analysis for charged particle based and other measurement systems
|
DE102005038034B3
(en)
*
|
2005-08-09 |
2007-05-10 |
Leica Microsystems Semiconductor Gmbh |
Apparatus and method for inspecting the surface of a wafer
|
US8039792B2
(en)
*
|
2005-08-15 |
2011-10-18 |
Baker Hughes Incorporated |
Wide band gap semiconductor photodetector based gamma ray detectors for well logging applications
|
US7763845B2
(en)
*
|
2005-08-15 |
2010-07-27 |
Baker Hughes Incorporated |
Downhole navigation and detection system
|
US20070109003A1
(en)
*
|
2005-08-19 |
2007-05-17 |
Kla-Tencor Technologies Corp. |
Test Pads, Methods and Systems for Measuring Properties of a Wafer
|
US7410815B2
(en)
*
|
2005-08-25 |
2008-08-12 |
Nanometrics Incorporated |
Apparatus and method for non-contact assessment of a constituent in semiconductor substrates
|
US7538371B2
(en)
*
|
2005-09-01 |
2009-05-26 |
United Microelectronics Corp. |
CMOS image sensor integrated with 1-T SRAM and fabrication method thereof
|
DE602005012163D1
(en)
*
|
2005-09-09 |
2009-02-12 |
Sacmi |
METHOD AND DEVICE FOR THE OPTICAL INSPECTION OF A SUBJECT
|
US20070059849A1
(en)
*
|
2005-09-12 |
2007-03-15 |
Interuniversitair Microelktronica Centrum (Imec) |
Method and system for BARC optimization for high numerical aperture applications
|
US7781349B2
(en)
*
|
2005-09-12 |
2010-08-24 |
Imec |
Method and system for optimizing a BARC stack
|
US7289200B1
(en)
|
2005-10-04 |
2007-10-30 |
Itt Manufacturing Enterprises, Inc. |
Confocal reflectommeter/ellipsometer to inspect low-temperature fusion seals
|
TWI289091B
(en)
*
|
2005-10-06 |
2007-11-01 |
Ind Tech Res Inst |
Apparatus for endpoint detection during polishing
|
WO2007044786A2
(en)
|
2005-10-11 |
2007-04-19 |
Zygo Corporation |
Interferometry method and system including spectral decomposition
|
US7864919B1
(en)
|
2005-10-18 |
2011-01-04 |
Smith International, Inc. |
Nondestructive method of measuring a region within an ultra-hard polycrystalline construction
|
US7397553B1
(en)
|
2005-10-24 |
2008-07-08 |
Kla-Tencor Technologies Corporation |
Surface scanning
|
US7277819B2
(en)
*
|
2005-10-31 |
2007-10-02 |
Eastman Kodak Company |
Measuring layer thickness or composition changes
|
EP1946040B1
(en)
*
|
2005-11-07 |
2017-03-22 |
Cardinal CG Company |
Method and apparatus for identifying photocatalytic coatings
|
US20070116350A1
(en)
*
|
2005-11-21 |
2007-05-24 |
Cheverton Mark A |
Method for detecting the alignment of films for automated defect detection
|
US7371590B2
(en)
*
|
2005-11-21 |
2008-05-13 |
General Electric Company |
Integrated inspection system and defect correction method
|
US20070115464A1
(en)
*
|
2005-11-21 |
2007-05-24 |
Harding Kevin G |
System and method for inspection of films
|
US20070114693A1
(en)
*
|
2005-11-21 |
2007-05-24 |
Buckley Paul W |
Methods for improving mold quality for use in the manufacture of liquid crystal display components
|
US20070122920A1
(en)
*
|
2005-11-29 |
2007-05-31 |
Bornstein William B |
Method for improved control of critical dimensions of etched structures on semiconductor wafers
|
JP4762702B2
(en)
*
|
2005-12-08 |
2011-08-31 |
富士フイルム株式会社 |
Plating thickness monitor device and plating stop device
|
US7522263B2
(en)
*
|
2005-12-27 |
2009-04-21 |
Asml Netherlands B.V. |
Lithographic apparatus and method
|
KR100650888B1
(en)
*
|
2005-12-29 |
2006-11-28 |
동부일렉트로닉스 주식회사 |
Method for mornitering edge bead removal process of copper metallization layer
|
KR100735613B1
(en)
*
|
2006-01-11 |
2007-07-04 |
삼성전자주식회사 |
Disk assembly at the implanter
|
US20070164205A1
(en)
*
|
2006-01-17 |
2007-07-19 |
Truche Jean L |
Method and apparatus for mass spectrometer diagnostics
|
US8148900B1
(en)
|
2006-01-17 |
2012-04-03 |
Kla-Tencor Technologies Corp. |
Methods and systems for providing illumination of a specimen for inspection
|
US20070176119A1
(en)
*
|
2006-01-30 |
2007-08-02 |
Accent Optical Technologies, Inc. |
Apparatuses and methods for analyzing semiconductor workpieces
|
JP2009525571A
(en)
*
|
2006-02-03 |
2009-07-09 |
カール・ツァイス・エヌティーエス・ゲーエムベーハー |
Focusing and positioning aid for particle optical scanning microscope
|
EP1982160A4
(en)
*
|
2006-02-09 |
2016-02-17 |
Kla Tencor Tech Corp |
Methods and systems for determining a characteristic of a wafer
|
JP2007240432A
(en)
*
|
2006-03-10 |
2007-09-20 |
Omron Corp |
Defect inspection device and defect inspection method
|
US9068917B1
(en)
*
|
2006-03-14 |
2015-06-30 |
Kla-Tencor Technologies Corp. |
Systems and methods for inspection of a specimen
|
JP5185506B2
(en)
*
|
2006-03-23 |
2013-04-17 |
株式会社日立ハイテクノロジーズ |
Charged particle beam pattern measurement system
|
US7576851B2
(en)
*
|
2006-03-30 |
2009-08-18 |
Tokyo Electron Limited |
Creating a library for measuring a damaged structure formed on a wafer using optical metrology
|
US7619731B2
(en)
*
|
2006-03-30 |
2009-11-17 |
Tokyo Electron Limited |
Measuring a damaged structure formed on a wafer using optical metrology
|
US7324193B2
(en)
*
|
2006-03-30 |
2008-01-29 |
Tokyo Electron Limited |
Measuring a damaged structure formed on a wafer using optical metrology
|
US7623978B2
(en)
*
|
2006-03-30 |
2009-11-24 |
Tokyo Electron Limited |
Damage assessment of a wafer using optical metrology
|
US7436505B2
(en)
*
|
2006-04-04 |
2008-10-14 |
Kla-Tencor Technologies Corp. |
Computer-implemented methods and systems for determining a configuration for a light scattering inspection system
|
US7999949B2
(en)
*
|
2006-04-24 |
2011-08-16 |
Raintree Scientific Instruments (Shanghai) Corporation |
Spectroscopic ellipsometers
|
US20090116727A1
(en)
*
|
2006-05-02 |
2009-05-07 |
Accretech Usa, Inc. |
Apparatus and Method for Wafer Edge Defects Detection
|
US7508504B2
(en)
*
|
2006-05-02 |
2009-03-24 |
Accretech Usa, Inc. |
Automatic wafer edge inspection and review system
|
US20090122304A1
(en)
*
|
2006-05-02 |
2009-05-14 |
Accretech Usa, Inc. |
Apparatus and Method for Wafer Edge Exclusion Measurement
|
US7616734B1
(en)
*
|
2006-05-09 |
2009-11-10 |
Smith International, Inc. |
Multi-step method of nondestructively measuring a region within an ultra-hard polycrystalline construction
|
US7558369B1
(en)
|
2006-05-09 |
2009-07-07 |
Smith International, Inc. |
Nondestructive method of measuring a region within an ultra-hard polycrystalline construction
|
US7567344B2
(en)
*
|
2006-05-12 |
2009-07-28 |
Corning Incorporated |
Apparatus and method for characterizing defects in a transparent substrate
|
WO2007137261A2
(en)
*
|
2006-05-22 |
2007-11-29 |
Kla-Tencor Technologies Corporation |
Methods and systems for detecting pinholes in a film formed on a wafer or for monitoring a thermal process tool
|
US7469164B2
(en)
*
|
2006-06-26 |
2008-12-23 |
Nanometrics Incorporated |
Method and apparatus for process control with in-die metrology
|
CN101479730B
(en)
*
|
2006-06-27 |
2011-06-08 |
日本电气株式会社 |
Method for analyzing warp of board or electronic component, system for analyzing warp of board or electronic component and program for analyzing warp of board or electronic component
|
US7705331B1
(en)
|
2006-06-29 |
2010-04-27 |
Kla-Tencor Technologies Corp. |
Methods and systems for providing illumination of a specimen for a process performed on the specimen
|
US7525673B2
(en)
*
|
2006-07-10 |
2009-04-28 |
Tokyo Electron Limited |
Optimizing selected variables of an optical metrology system
|
US7526354B2
(en)
|
2006-07-10 |
2009-04-28 |
Tokyo Electron Limited |
Managing and using metrology data for process and equipment control
|
US20080013107A1
(en)
*
|
2006-07-11 |
2008-01-17 |
Tokyo Electron Limited |
Generating a profile model to characterize a structure to be examined using optical metrology
|
TWI428559B
(en)
|
2006-07-21 |
2014-03-01 |
Zygo Corp |
Compensation of systematic effects in low coherence interferometry
|
JP4307470B2
(en)
*
|
2006-08-08 |
2009-08-05 |
株式会社日立ハイテクノロジーズ |
Charged particle beam apparatus, sample processing method, and semiconductor inspection apparatus
|
US7935942B2
(en)
*
|
2006-08-15 |
2011-05-03 |
Varian Semiconductor Equipment Associates, Inc. |
Technique for low-temperature ion implantation
|
US7856939B2
(en)
*
|
2006-08-28 |
2010-12-28 |
Transitions Optical, Inc. |
Recirculation spin coater with optical controls
|
US7667835B2
(en)
*
|
2006-08-28 |
2010-02-23 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Apparatus and method for preventing copper peeling in ECP
|
US20080055597A1
(en)
*
|
2006-08-29 |
2008-03-06 |
Jie-Wei Sun |
Method for characterizing line width roughness (lwr) of printed features
|
WO2008039758A2
(en)
*
|
2006-09-25 |
2008-04-03 |
Cambridge Research & Instrumentation, Inc. |
Sample imaging and classification
|
JP4981410B2
(en)
*
|
2006-10-31 |
2012-07-18 |
株式会社日立ハイテクノロジーズ |
Scanning electron microscope, combined pattern inspection method using scanning electron microscope, and control apparatus for scanning electron microscope
|
US7417750B2
(en)
*
|
2006-11-07 |
2008-08-26 |
Tokyo Electron Limited |
Consecutive measurement of structures formed on a semiconductor wafer using an angle-resolved spectroscopic scatterometer
|
US8184288B2
(en)
*
|
2006-11-29 |
2012-05-22 |
Macronix International Co., Ltd. |
Method of depositing a silicon-containing material by utilizing a multi-step fill-in process in a deposition machine
|
US8520194B2
(en)
*
|
2006-11-29 |
2013-08-27 |
Macronix International Co., Ltd. |
Method of forming a deposited material by utilizing a multi-step deposition/etch/deposition (D/E/D) process
|
US20080129986A1
(en)
*
|
2006-11-30 |
2008-06-05 |
Phillip Walsh |
Method and apparatus for optically measuring periodic structures using orthogonal azimuthal sample orientations
|
WO2008070635A2
(en)
*
|
2006-12-01 |
2008-06-12 |
Coherix, Inc. |
Method and system for determining a critical dimension of an object
|
US7728969B2
(en)
*
|
2006-12-05 |
2010-06-01 |
Kla-Tencor Technologies Corp. |
Methods and systems for identifying defect types on a wafer
|
US7904845B2
(en)
*
|
2006-12-06 |
2011-03-08 |
Kla-Tencor Corp. |
Determining locations on a wafer to be reviewed during defect review
|
US20080135774A1
(en)
*
|
2006-12-08 |
2008-06-12 |
Asml Netherlands B.V. |
Scatterometer, a lithographic apparatus and a focus analysis method
|
US7700488B2
(en)
*
|
2007-01-16 |
2010-04-20 |
International Business Machines Corporation |
Recycling of ion implantation monitor wafers
|
US8034718B2
(en)
*
|
2006-12-12 |
2011-10-11 |
International Business Machines Corporation |
Method to recover patterned semiconductor wafers for rework
|
KR101519932B1
(en)
|
2006-12-22 |
2015-05-13 |
지고 코포레이션 |
Apparatus and method for measuring characteristics of surface features
|
JP2008171911A
(en)
*
|
2007-01-10 |
2008-07-24 |
Tokyo Electron Ltd |
Method and system for evaluating roughness
|
US7554654B2
(en)
*
|
2007-01-26 |
2009-06-30 |
Kla-Tencor Corporation |
Surface characteristic analysis
|
US7889355B2
(en)
|
2007-01-31 |
2011-02-15 |
Zygo Corporation |
Interferometry for lateral metrology
|
TWI429002B
(en)
*
|
2007-02-23 |
2014-03-01 |
Rudolph Technologies Inc |
Wafer fabrication monitoring systems and methods, including edge bead removal processing
|
US7764387B2
(en)
*
|
2007-03-02 |
2010-07-27 |
Applied Kinetics, Inc. |
Apparatus and method for measuring suspension and head assemblies in a stack
|
US7724375B1
(en)
*
|
2007-03-15 |
2010-05-25 |
Kla-Tencor Corporation |
Method and apparatus for increasing metrology or inspection tool throughput
|
US7639351B2
(en)
*
|
2007-03-20 |
2009-12-29 |
Tokyo Electron Limited |
Automated process control using optical metrology with a photonic nanojet
|
US7949618B2
(en)
*
|
2007-03-28 |
2011-05-24 |
Tokyo Electron Limited |
Training a machine learning system to determine photoresist parameters
|
US7567353B2
(en)
*
|
2007-03-28 |
2009-07-28 |
Tokyo Electron Limited |
Automated process control using optical metrology and photoresist parameters
|
US7772016B2
(en)
*
|
2007-04-04 |
2010-08-10 |
International Business Machines Corporation |
Method for composition control of a metal compound film
|
US7812936B2
(en)
*
|
2007-04-09 |
2010-10-12 |
Identification International, Inc. |
Fingerprint imaging system
|
US7372583B1
(en)
*
|
2007-04-12 |
2008-05-13 |
Tokyo Electron Limited |
Controlling a fabrication tool using support vector machine
|
US7483809B2
(en)
*
|
2007-04-12 |
2009-01-27 |
Tokyo Electron Limited |
Optical metrology using support vector machine with profile parameter inputs
|
US7511835B2
(en)
*
|
2007-04-12 |
2009-03-31 |
Tokyo Electron Limited |
Optical metrology using a support vector machine with simulated diffraction signal inputs
|
US7671978B2
(en)
|
2007-04-24 |
2010-03-02 |
Xyratex Technology Limited |
Scatterometer-interferometer and method for detecting and distinguishing characteristics of surface artifacts
|
DE102007020624A1
(en)
*
|
2007-04-30 |
2008-11-06 |
Surface Systems + Technology Gmbh + Co. Kg |
Device for determining a mechanical property of a sample to be examined
|
US7920676B2
(en)
*
|
2007-05-04 |
2011-04-05 |
Xradia, Inc. |
CD-GISAXS system and method
|
US20110073982A1
(en)
*
|
2007-05-25 |
2011-03-31 |
Armstrong J Joseph |
Inspection system using back side illuminated linear sensor
|
US20080318345A1
(en)
*
|
2007-06-22 |
2008-12-25 |
Persing Harold M |
Plasma ion implantation process control using reflectometry
|
US8213021B2
(en)
*
|
2007-06-29 |
2012-07-03 |
Veeco Metrology, Inc. |
Interferometric measurement of non-homogeneous multi-material surfaces
|
US7683299B2
(en)
*
|
2007-07-09 |
2010-03-23 |
Bio-Rad Laboratories, Inc. |
Extended dynamic range system design using a photomultiplier tube and solid state detector
|
US7635843B1
(en)
*
|
2007-07-13 |
2009-12-22 |
Xilinx, Inc. |
In-line reliability test using E-beam scan
|
US7619746B2
(en)
|
2007-07-19 |
2009-11-17 |
Zygo Corporation |
Generating model signals for interferometry
|
US8611639B2
(en)
*
|
2007-07-30 |
2013-12-17 |
Kla-Tencor Technologies Corp |
Semiconductor device property extraction, generation, visualization, and monitoring methods
|
DE102007035833B3
(en)
*
|
2007-07-31 |
2009-03-12 |
Advanced Micro Devices, Inc., Sunnyvale |
Advanced automatic deposition profile targeting and control through the use of advanced polishing endpoint feedback
|
US7915570B2
(en)
|
2007-08-03 |
2011-03-29 |
National Instruments Corporation |
Smart camera with an integrated lighting controller
|
DE102007036811B3
(en)
*
|
2007-08-03 |
2008-12-18 |
Vistec Semiconductor Systems Gmbh |
Wafer surface area detecting device, has line scan camera with detector row whose length is smaller than diameter of wafer, and color scan camera with two-dimensional detector chip upstream to dispersive element
|
JP2009065146A
(en)
*
|
2007-08-15 |
2009-03-26 |
Sony Corp |
Method of forming semiconductor thin film, and inspection device for the semiconductor thin film
|
TW200919612A
(en)
*
|
2007-08-21 |
2009-05-01 |
Camtek Ltd |
Method and system for low cost inspection
|
US7567885B2
(en)
*
|
2007-08-23 |
2009-07-28 |
Sokudo Co., Ltd. |
Method and system for determining object height
|
JP4950813B2
(en)
*
|
2007-08-30 |
2012-06-13 |
大日本スクリーン製造株式会社 |
Spectral ellipsometer, film thickness measuring apparatus, and focus adjustment method of spectroscopic ellipsometer
|
US7782452B2
(en)
|
2007-08-31 |
2010-08-24 |
Kla-Tencor Technologies Corp. |
Systems and method for simultaneously inspecting a specimen with two distinct channels
|
US8337278B2
(en)
*
|
2007-09-24 |
2012-12-25 |
Applied Materials, Inc. |
Wafer edge characterization by successive radius measurements
|
US8072611B2
(en)
|
2007-10-12 |
2011-12-06 |
Zygo Corporation |
Interferometric analysis of under-resolved features
|
JP5102580B2
(en)
*
|
2007-10-18 |
2012-12-19 |
株式会社日立ハイテクノロジーズ |
Charged particle beam application equipment
|
US7978337B2
(en)
|
2007-11-13 |
2011-07-12 |
Zygo Corporation |
Interferometer utilizing polarization scanning
|
US8264693B2
(en)
|
2007-12-06 |
2012-09-11 |
The Regents Of The University Of Michigan |
Method and system for measuring at least one property including a magnetic property of a material using pulsed laser sources
|
US8126677B2
(en)
|
2007-12-14 |
2012-02-28 |
Zygo Corporation |
Analyzing surface structure using scanning interferometry
|
US7678588B2
(en)
*
|
2008-01-22 |
2010-03-16 |
United Microelectronics Corp. |
Method for constructing module for optical critical dimension (OCD) and measuring method of module for optical critical dimension using the module
|
US7732303B2
(en)
|
2008-01-31 |
2010-06-08 |
International Business Machines Corporation |
Method for recycling of ion implantation monitor wafers
|
FR2927175B1
(en)
*
|
2008-02-05 |
2011-02-18 |
Altatech Semiconductor |
DEVICE FOR INSPECTING SEMICONDUCTOR WAFERS
|
US20090219537A1
(en)
|
2008-02-28 |
2009-09-03 |
Phillip Walsh |
Method and apparatus for using multiple relative reflectance measurements to determine properties of a sample using vacuum ultra violet wavelengths
|
US8134698B1
(en)
|
2008-03-14 |
2012-03-13 |
Kla-Tencor Corporation |
Dynamic range extension in surface inspection systems
|
US8097474B2
(en)
*
|
2008-03-24 |
2012-01-17 |
International Business Machines Corporation |
Integrated circuit chip design flow methodology including insertion of on-chip or scribe line wireless process monitoring and feedback circuitry
|
US8239811B2
(en)
*
|
2008-03-24 |
2012-08-07 |
International Business Machines Corporation |
System and method for wireless and dynamic intra-process measurement of integrated circuit parameters
|
DE102008001812B4
(en)
*
|
2008-05-15 |
2013-05-29 |
Carl Zeiss Microscopy Gmbh |
Positioning device for a particle beam device
|
US7912658B2
(en)
*
|
2008-05-28 |
2011-03-22 |
Kla-Tencor Corp. |
Systems and methods for determining two or more characteristics of a wafer
|
US8094926B2
(en)
*
|
2008-06-06 |
2012-01-10 |
Kabushiki Kaisha Toshiba |
Ultrafine pattern discrimination using transmitted/reflected workpiece images for use in lithography inspection system
|
JP6185693B2
(en)
|
2008-06-11 |
2017-08-23 |
ケーエルエー−テンカー・コーポレーションKla−Tencor Corporation |
Systems and methods for detection of design and process defects on wafers, inspection of defects on wafers, selection to use one or more features in the design as process monitoring features, or some combination thereof
|
WO2009155502A2
(en)
|
2008-06-19 |
2009-12-23 |
Kla-Tencor Corporation |
Computer-implemented methods, computer-readable media, and systems for determining one or more characteristics of a wafer
|
KR101443058B1
(en)
*
|
2008-06-25 |
2014-09-24 |
삼성전자주식회사 |
equipment for manufacturing semiconductor device analyzed layered media dimension and used the same
|
JP2010027743A
(en)
*
|
2008-07-16 |
2010-02-04 |
Ebara Corp |
Glass substrate for imprint, resist pattern forming method, and method and apparatus for inspecting glass substrate for imprint
|
JP5352144B2
(en)
*
|
2008-07-22 |
2013-11-27 |
株式会社荏原製作所 |
Charged particle beam inspection method and apparatus
|
US8269960B2
(en)
|
2008-07-24 |
2012-09-18 |
Kla-Tencor Corp. |
Computer-implemented methods for inspecting and/or classifying a wafer
|
US20100041220A1
(en)
*
|
2008-08-12 |
2010-02-18 |
Advanced Micro Devices, Inc. |
Methods for uniformly optically annealing regions of a semiconductor substrate
|
CN101666626B
(en)
*
|
2008-09-03 |
2012-02-29 |
睿励科学仪器(上海)有限公司 |
Method for ellipsometry and device thereof
|
US8495919B1
(en)
|
2008-09-19 |
2013-07-30 |
Fort Wayne Metals Research Products Corporation |
Test apparatus and method for determining at least one characteristic of a plurality of test specimens
|
JP5233012B2
(en)
*
|
2008-10-03 |
2013-07-10 |
日新イオン機器株式会社 |
Ion implanter
|
US7972552B1
(en)
*
|
2008-11-12 |
2011-07-05 |
Hrl Laboratories, Llc |
Method to locate and eliminate manufacturing defects in a quartz resonator gyro
|
JP5289006B2
(en)
*
|
2008-11-19 |
2013-09-11 |
株式会社東芝 |
Pattern forming method and program
|
US8120781B2
(en)
|
2008-11-26 |
2012-02-21 |
Zygo Corporation |
Interferometric systems and methods featuring spectral analysis of unevenly sampled data
|
JP5492405B2
(en)
*
|
2008-12-02 |
2014-05-14 |
株式会社日立ハイテクノロジーズ |
Charged particle beam equipment
|
DE102008044375A1
(en)
*
|
2008-12-05 |
2010-06-10 |
Robert Bosch Gmbh |
Optical measuring device
|
US8060330B2
(en)
*
|
2008-12-12 |
2011-11-15 |
Lam Research Corporation |
Method and system for centering wafer on chuck
|
US8983787B1
(en)
*
|
2008-12-12 |
2015-03-17 |
Martin M. Liphardt |
Method of evaluating data quality
|
US8600703B1
(en)
*
|
2008-12-12 |
2013-12-03 |
J.A. Woollam Co., Inc |
Method of evaluating data quality
|
WO2010070837A1
(en)
*
|
2008-12-16 |
2010-06-24 |
株式会社日立ハイテクノロジーズ |
Electron beam device and electron beam application device using the same
|
US8809779B2
(en)
*
|
2008-12-19 |
2014-08-19 |
Hermes Microvision, Inc. |
Method and system for heating substrate in vacuum environment and method and system for identifying defects on substrate
|
SG163442A1
(en)
*
|
2009-01-13 |
2010-08-30 |
Semiconductor Technologies & Instruments |
System and method for inspecting a wafer
|
SG164293A1
(en)
*
|
2009-01-13 |
2010-09-29 |
Semiconductor Technologies & Instruments Pte |
System and method for inspecting a wafer
|
SG164292A1
(en)
|
2009-01-13 |
2010-09-29 |
Semiconductor Technologies & Instruments Pte |
System and method for inspecting a wafer
|
US8918198B2
(en)
*
|
2009-01-21 |
2014-12-23 |
George Atanasoff |
Methods and systems for control of a surface modification process
|
US8135560B2
(en)
*
|
2009-01-30 |
2012-03-13 |
Applied Materials, Inc. |
Sensor system for semiconductor manufacturing apparatus
|
DE102009008063A1
(en)
*
|
2009-02-09 |
2010-08-19 |
Carl Zeiss Nts Gmbh |
particle beam
|
KR101493048B1
(en)
*
|
2009-02-27 |
2015-02-13 |
삼성전자주식회사 |
Apparatus for measuring semiconductor device and method for measuring semiconductor device
|
US20100279435A1
(en)
*
|
2009-04-30 |
2010-11-04 |
Applied Materials, Inc. |
Temperature control of chemical mechanical polishing
|
US20100279438A1
(en)
*
|
2009-05-01 |
2010-11-04 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Apparatus and method of in-situ identification for contamination control in semiconductor fabrication
|
US8288646B2
(en)
*
|
2009-05-06 |
2012-10-16 |
UltraSolar Technology, Inc. |
Pyroelectric solar technology apparatus and method
|
US8269980B1
(en)
|
2009-05-11 |
2012-09-18 |
Engineering Synthesis Design, Inc. |
White light scanning interferometer with simultaneous phase-shifting module
|
US7985188B2
(en)
|
2009-05-13 |
2011-07-26 |
Cv Holdings Llc |
Vessel, coating, inspection and processing apparatus
|
PT2251453E
(en)
|
2009-05-13 |
2014-03-13 |
Sio2 Medical Products Inc |
Vessel holder
|
WO2013170052A1
(en)
|
2012-05-09 |
2013-11-14 |
Sio2 Medical Products, Inc. |
Saccharide protective coating for pharmaceutical package
|
US8153987B2
(en)
*
|
2009-05-22 |
2012-04-10 |
Jordan Valley Semiconductors Ltd. |
Automated calibration methodology for VUV metrology system
|
US10768611B2
(en)
*
|
2009-06-16 |
2020-09-08 |
Applied Materials, Inc. |
Counter and timer constraints
|
JP5486219B2
(en)
*
|
2009-06-18 |
2014-05-07 |
パナソニック液晶ディスプレイ株式会社 |
Photoresist patterning inspection method
|
US9458536B2
(en)
|
2009-07-02 |
2016-10-04 |
Sio2 Medical Products, Inc. |
PECVD coating methods for capped syringes, cartridges and other articles
|
FR2948192B1
(en)
*
|
2009-07-20 |
2011-07-22 |
Commissariat Energie Atomique |
OPTICAL CHARACTERIZATION METHOD
|
US8712571B2
(en)
*
|
2009-08-07 |
2014-04-29 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method and apparatus for wireless transmission of diagnostic information
|
US8441639B2
(en)
*
|
2009-09-03 |
2013-05-14 |
Kla-Tencor Corp. |
Metrology systems and methods
|
EP2293051A1
(en)
*
|
2009-09-05 |
2011-03-09 |
Umicore AG & Co. KG |
Method and device for identifying autocatalysts
|
WO2011041294A1
(en)
*
|
2009-09-30 |
2011-04-07 |
Og Technologies, Inc. |
A method and apparatus of a portable imaging-based measurement with self calibration
|
US20110195636A1
(en)
*
|
2010-02-11 |
2011-08-11 |
United Microelectronics Corporation |
Method for Controlling Polishing Wafer
|
US8334986B2
(en)
*
|
2010-02-25 |
2012-12-18 |
Corning Incorporated |
Methods and apparatus for the measurement of film thickness
|
DE102010003112A1
(en)
*
|
2010-03-22 |
2011-09-22 |
Osram Opto Semiconductors Gmbh |
Method for controlling formation of boundary face between metal film and semiconductor layer of thin film semiconductor component, involves comparing reflectance image of reflected infrared radiation with expected reflectance image
|
US8108805B2
(en)
*
|
2010-03-26 |
2012-01-31 |
Tokyo Electron Limited |
Simplified micro-bridging and roughness analysis
|
JP2011209090A
(en)
*
|
2010-03-30 |
2011-10-20 |
Hitachi Ltd |
Smooth surface inspection apparatus
|
US11624115B2
(en)
|
2010-05-12 |
2023-04-11 |
Sio2 Medical Products, Inc. |
Syringe with PECVD lubrication
|
WO2011151530A1
(en)
*
|
2010-05-31 |
2011-12-08 |
Arcelormittal Investigacion Y Desarrollo, S.L. |
Method and device for measuring the thickness of a coating layer on a running strip
|
DE102010031227A1
(en)
*
|
2010-07-12 |
2012-01-12 |
Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. |
Device for testing microstructuring quality
|
US8603839B2
(en)
|
2010-07-23 |
2013-12-10 |
First Solar, Inc. |
In-line metrology system
|
US9209096B2
(en)
*
|
2010-07-30 |
2015-12-08 |
First Solar, Inc |
Photoluminescence measurement
|
US8873028B2
(en)
*
|
2010-08-26 |
2014-10-28 |
Apple Inc. |
Non-destructive stress profile determination in chemically tempered glass
|
JP5725781B2
(en)
*
|
2010-09-28 |
2015-05-27 |
キヤノン株式会社 |
Subject information acquisition device
|
US8175452B1
(en)
|
2010-10-26 |
2012-05-08 |
Complete Genomics, Inc. |
Method and system for imaging high density biochemical arrays with sub-pixel alignment
|
US9878101B2
(en)
|
2010-11-12 |
2018-01-30 |
Sio2 Medical Products, Inc. |
Cyclic olefin polymer vessels and vessel coating methods
|
US20120323506A1
(en)
*
|
2010-11-23 |
2012-12-20 |
Andrew Payshin King |
Semiconductor Defect Signal Capturing and Statistical System and Method
|
US8867041B2
(en)
|
2011-01-18 |
2014-10-21 |
Jordan Valley Semiconductor Ltd |
Optical vacuum ultra-violet wavelength nanoimprint metrology
|
US8565379B2
(en)
|
2011-03-14 |
2013-10-22 |
Jordan Valley Semiconductors Ltd. |
Combining X-ray and VUV analysis of thin film layers
|
JP5752454B2
(en)
*
|
2011-03-23 |
2015-07-22 |
東京エレクトロン株式会社 |
Plasma processing apparatus and temperature measuring method
|
US9272095B2
(en)
|
2011-04-01 |
2016-03-01 |
Sio2 Medical Products, Inc. |
Vessels, contact surfaces, and coating and inspection apparatus and methods
|
US8384405B2
(en)
*
|
2011-04-20 |
2013-02-26 |
Tdk Corporation |
Method for performing burn-in test
|
JP2014515789A
(en)
*
|
2011-04-20 |
2014-07-03 |
コーニンクレッカ フィリップス エヌ ヴェ |
Measuring apparatus and method for vapor deposition applications
|
US8942842B2
(en)
*
|
2011-04-28 |
2015-01-27 |
Applied Materials, Inc. |
Varying optical coefficients to generate spectra for polishing control
|
CA2778083A1
(en)
|
2011-05-24 |
2012-11-24 |
Discovery Metals, Llc |
Ambient reflectivity absorption system for identifying precious or semi-precious materials and associated methods
|
DE102011077567B4
(en)
*
|
2011-06-15 |
2013-05-29 |
Leibniz-Institut Für Polymerforschung Dresden E.V. |
METHOD AND DEVICE FOR DETERMINING THE SURFACE OPOGRAPHY OF COATED, REFLECTIVE SURFACES
|
US20130017762A1
(en)
*
|
2011-07-15 |
2013-01-17 |
Infineon Technologies Ag |
Method and Apparatus for Determining a Measure of a Thickness of a Polishing Pad of a Polishing Machine
|
JP2013042114A
(en)
*
|
2011-07-19 |
2013-02-28 |
Canon Inc |
Drawing device, and article manufacturing method
|
TWI571633B
(en)
*
|
2011-07-25 |
2017-02-21 |
伊雷克托科學工業股份有限公司 |
Method and apparatus for characterizing objects and monitoring manufacturing processes
|
JP5834584B2
(en)
*
|
2011-07-25 |
2015-12-24 |
ソニー株式会社 |
Information processing apparatus, information processing method, program, and fluorescence spectrum intensity correction method
|
WO2013066446A1
(en)
|
2011-08-01 |
2013-05-10 |
The Trustees Of Columbia University In The City Of New York |
Conjugates of nano-diamond and magnetic or metallic particles
|
KR20140056329A
(en)
*
|
2011-08-11 |
2014-05-09 |
케이엘에이-텐코 코포레이션 |
Air flow management in a system with high speed spinning chuck
|
US20140212020A1
(en)
*
|
2011-08-12 |
2014-07-31 |
Bt Imaging Pty Ltd |
Photoluminescence imaging of doping variations in semiconductor wafers
|
JP5721586B2
(en)
*
|
2011-08-12 |
2015-05-20 |
大塚電子株式会社 |
Optical characteristic measuring apparatus and optical characteristic measuring method
|
JP2013061185A
(en)
*
|
2011-09-12 |
2013-04-04 |
Toshiba Corp |
Pattern inspection device and pattern inspection method
|
WO2013040446A1
(en)
*
|
2011-09-16 |
2013-03-21 |
The Trustees Of Columbia University In The City Of New York |
High-precision ghz clock generation using spin states in diamond
|
US8982362B2
(en)
|
2011-10-04 |
2015-03-17 |
First Solar, Inc. |
System and method for measuring layer thickness and depositing semiconductor layers
|
US9117149B2
(en)
|
2011-10-07 |
2015-08-25 |
Industrial Technology Research Institute |
Optical registration carrier
|
US9632045B2
(en)
|
2011-10-19 |
2017-04-25 |
The Trustees Of Columbia University In The City Of New York |
Systems and methods for deterministic emitter switch microscopy
|
US9090854B2
(en)
*
|
2011-10-25 |
2015-07-28 |
Lam Research Ag |
Method and apparatus for processing wafer-shaped articles
|
JP5933222B2
(en)
*
|
2011-11-08 |
2016-06-08 |
東京エレクトロン株式会社 |
Temperature control method, control device, and plasma processing apparatus
|
GB201119352D0
(en)
*
|
2011-11-09 |
2011-12-21 |
Advanced Sensors Ltd |
Apparatus and method for determining the amounts of two or more substances present in a liquid
|
US11116695B2
(en)
|
2011-11-11 |
2021-09-14 |
Sio2 Medical Products, Inc. |
Blood sample collection tube
|
EP2776603B1
(en)
|
2011-11-11 |
2019-03-06 |
SiO2 Medical Products, Inc. |
PASSIVATION, pH PROTECTIVE OR LUBRICITY COATING FOR PHARMACEUTICAL PACKAGE, COATING PROCESS AND APPARATUS
|
US9534883B1
(en)
|
2011-11-22 |
2017-01-03 |
Engineering Synthesis Design, Inc. |
Methods for determining error in an interferometry system
|
US8969833B1
(en)
|
2011-12-16 |
2015-03-03 |
Us Synthetic Corporation |
Method and system for perceiving a boundary between a first region and a second region of a superabrasive volume
|
WO2013096734A1
(en)
*
|
2011-12-22 |
2013-06-27 |
University Of Pittsburgh - Of The Commonwealth System Of Higher Education |
Method and apparatus to enhance light illuminating intensity and diffusivity
|
US20130171350A1
(en)
*
|
2011-12-29 |
2013-07-04 |
Intermolecular Inc. |
High Throughput Processing Using Metal Organic Chemical Vapor Deposition
|
KR101829676B1
(en)
*
|
2011-12-29 |
2018-02-20 |
삼성전자주식회사 |
Method of thermally treating wafer
|
US20130214768A1
(en)
*
|
2012-02-21 |
2013-08-22 |
Varel International Ind., L.P. |
Use of Eddy Currents to Analyze Polycrystalline Diamond
|
US9377428B2
(en)
|
2012-02-21 |
2016-06-28 |
Varel International Ind., L.P. |
Non-destructive leaching depth measurement using capacitance spectroscopy
|
US9423436B2
(en)
|
2012-02-21 |
2016-08-23 |
Varel International Ind., L.P. |
Method and apparatus to assess the thermal damage caused to a PCD cutter using capacitance spectroscopy
|
US9423370B2
(en)
|
2012-02-21 |
2016-08-23 |
Varel International Ind., L.P |
Use of capacitance to analyze polycrystalline diamond
|
KR101704591B1
(en)
|
2012-02-21 |
2017-02-08 |
에이에스엠엘 네델란즈 비.브이. |
Inspection apparatus and method
|
US9128031B2
(en)
|
2012-02-21 |
2015-09-08 |
Varel International Ind., L.P. |
Method to improve the leaching process
|
US8879073B2
(en)
*
|
2012-02-24 |
2014-11-04 |
Kla-Tencor Corporation |
Optical metrology using targets with field enhancement elements
|
US8848191B2
(en)
|
2012-03-14 |
2014-09-30 |
Honeywell International Inc. |
Photoacoustic sensor with mirror
|
US9410890B2
(en)
*
|
2012-03-19 |
2016-08-09 |
Kla-Tencor Corporation |
Methods and apparatus for spectral luminescence measurement
|
US10801975B2
(en)
|
2012-05-08 |
2020-10-13 |
Kla-Tencor Corporation |
Metrology tool with combined X-ray and optical scatterometers
|
CN103453395A
(en)
*
|
2012-05-30 |
2013-12-18 |
财团法人工业技术研究院 |
Light source device
|
DE102012104844B4
(en)
*
|
2012-06-05 |
2017-06-29 |
Heliatek Gmbh |
Optical system and method for monitoring and controlling photoactive components in the production process
|
DE102012104874B4
(en)
*
|
2012-06-05 |
2016-05-19 |
Technische Universität München |
Optical measuring system with polarization compensation and corresponding method
|
US9628676B2
(en)
|
2012-06-07 |
2017-04-18 |
Complete Genomics, Inc. |
Imaging systems with movable scan mirrors
|
US9488823B2
(en)
|
2012-06-07 |
2016-11-08 |
Complete Genomics, Inc. |
Techniques for scanned illumination
|
US9182341B2
(en)
*
|
2012-06-13 |
2015-11-10 |
Kla-Tencor Corporation |
Optical surface scanning systems and methods
|
KR102330741B1
(en)
|
2012-06-26 |
2021-11-23 |
케이엘에이 코포레이션 |
Scanning in angle-resolved reflectometry and algorithmically eliminating diffraction from optical metrology
|
US10013518B2
(en)
*
|
2012-07-10 |
2018-07-03 |
Kla-Tencor Corporation |
Model building and analysis engine for combined X-ray and optical metrology
|
NL2009367C2
(en)
*
|
2012-08-27 |
2014-03-03 |
Stichting Vu Vumc |
Microscopic imaging apparatus and method to detect a microscopic image.
|
US8860937B1
(en)
*
|
2012-10-24 |
2014-10-14 |
Kla-Tencor Corp. |
Metrology systems and methods for high aspect ratio and large lateral dimension structures
|
KR101241007B1
(en)
*
|
2012-10-26 |
2013-03-11 |
나노씨엠에스(주) |
Method and apparatus for measuring thickness of thin film using x-ray
|
WO2014071061A1
(en)
|
2012-11-01 |
2014-05-08 |
Sio2 Medical Products, Inc. |
Coating inspection method
|
US8830464B2
(en)
*
|
2012-11-06 |
2014-09-09 |
Kla-Tencor Corporation |
Film thickness, refractive index, and extinction coefficient determination for film curve creation and defect sizing in real time
|
US9244028B2
(en)
|
2012-11-07 |
2016-01-26 |
Tribogenics, Inc. |
Electron excited x-ray fluorescence device
|
WO2014078666A1
(en)
|
2012-11-16 |
2014-05-22 |
Sio2 Medical Products, Inc. |
Method and apparatus for detecting rapid barrier coating integrity characteristics
|
KR101336946B1
(en)
|
2012-11-27 |
2013-12-04 |
한국기초과학지원연구원 |
Failure analysis appratus and method using measurement of heat generation distribution
|
US9764093B2
(en)
|
2012-11-30 |
2017-09-19 |
Sio2 Medical Products, Inc. |
Controlling the uniformity of PECVD deposition
|
WO2014085346A1
(en)
|
2012-11-30 |
2014-06-05 |
Sio2 Medical Products, Inc. |
Hollow body with inside coating
|
US9718164B2
(en)
*
|
2012-12-06 |
2017-08-01 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Polishing system and polishing method
|
US9354185B2
(en)
*
|
2012-12-21 |
2016-05-31 |
Advanced Micro Devices, Inc. |
3D imaging with multiple irradiation frequencies
|
US9630927B2
(en)
*
|
2014-01-17 |
2017-04-25 |
Taiwan Semiconductor Manufacturing Company Limited |
Method and manufacturing system
|
US11885738B1
(en)
*
|
2013-01-22 |
2024-01-30 |
J.A. Woollam Co., Inc. |
Reflectometer, spectrophotometer, ellipsometer or polarimeter system including sample imaging system that simultaneously meet the scheimpflug condition and overcomes keystone error
|
US20140242880A1
(en)
*
|
2013-02-26 |
2014-08-28 |
Applied Materials, Inc. |
Optical model with polarization direction effects for comparison to measured spectrum
|
US20140242881A1
(en)
*
|
2013-02-27 |
2014-08-28 |
Applied Materials, Inc. |
Feed forward parameter values for use in theoretically generating spectra
|
US9662450B2
(en)
|
2013-03-01 |
2017-05-30 |
Sio2 Medical Products, Inc. |
Plasma or CVD pre-treatment for lubricated pharmaceutical package, coating process and apparatus
|
US9305753B2
(en)
*
|
2013-03-06 |
2016-04-05 |
Kla-Tencor Corporation |
Thickness change monitor wafer for in situ film thickness monitoring
|
CN105392916B
(en)
|
2013-03-11 |
2019-03-08 |
Sio2医药产品公司 |
Coat packaging materials
|
US9937099B2
(en)
|
2013-03-11 |
2018-04-10 |
Sio2 Medical Products, Inc. |
Trilayer coated pharmaceutical packaging with low oxygen transmission rate
|
US9052190B2
(en)
*
|
2013-03-12 |
2015-06-09 |
Kla-Tencor Corporation |
Bright-field differential interference contrast system with scanning beams of round and elliptical cross-sections
|
EP2971227B1
(en)
|
2013-03-15 |
2017-11-15 |
Si02 Medical Products, Inc. |
Coating method.
|
TWI683382B
(en)
*
|
2013-03-15 |
2020-01-21 |
應用材料股份有限公司 |
Carousel gas distribution assembly with optical measurements
|
DE102013207243B4
(en)
*
|
2013-04-22 |
2019-10-02 |
Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. |
DEVICE AND METHOD FOR PRODUCING A STRUCTURE OF CURABLE MATERIAL BY IMPREGNATION
|
US9857291B2
(en)
|
2013-05-16 |
2018-01-02 |
Kla-Tencor Corporation |
Metrology system calibration refinement
|
US20140356986A1
(en)
*
|
2013-05-31 |
2014-12-04 |
International Business Machines Corporation |
Precision controlled collapse chip connection mapping
|
US9995850B2
(en)
*
|
2013-06-06 |
2018-06-12 |
Kla-Tencor Corporation |
System, method and apparatus for polarization control
|
US9535018B2
(en)
*
|
2013-07-08 |
2017-01-03 |
Kla-Tencor Corporation |
Combined x-ray and optical metrology
|
WO2015006233A1
(en)
*
|
2013-07-09 |
2015-01-15 |
Kla-Tencor Corporation |
Aperture alignment in scatterometry metrology systems
|
US9778213B2
(en)
|
2013-08-19 |
2017-10-03 |
Kla-Tencor Corporation |
Metrology tool with combined XRF and SAXS capabilities
|
US9281253B2
(en)
|
2013-10-29 |
2016-03-08 |
Applied Materials, Inc. |
Determination of gain for eddy current sensor
|
JP5680731B2
(en)
*
|
2013-11-06 |
2015-03-04 |
株式会社Screenセミコンダクターソリューションズ |
Substrate processing apparatus and inspection peripheral exposure system
|
US9293298B2
(en)
*
|
2013-12-23 |
2016-03-22 |
Kla-Tencor Corp. |
Defect discovery and inspection sensitivity optimization using automated classification of corresponding electron beam images
|
TWI504886B
(en)
*
|
2013-12-26 |
2015-10-21 |
Machvision Inc |
Inspection method of crack defects and heterochromatic of printed circuit board and inspection apparatus of the same
|
KR20150085956A
(en)
*
|
2014-01-17 |
2015-07-27 |
삼성전자주식회사 |
A method of measuring a semiconductor device, a semiconductor measurement system, and a method of a semiconductor device using the same
|
US9588066B2
(en)
|
2014-01-23 |
2017-03-07 |
Revera, Incorporated |
Methods and systems for measuring periodic structures using multi-angle X-ray reflectance scatterometry (XRS)
|
DE102014003145A1
(en)
|
2014-03-04 |
2015-09-10 |
Carl Zeiss Microscopy Gmbh |
Method for correcting spherical aberration in microscopic applications
|
EP3693493A1
(en)
|
2014-03-28 |
2020-08-12 |
SiO2 Medical Products, Inc. |
Antistatic coatings for plastic vessels
|
US20150316468A1
(en)
*
|
2014-04-30 |
2015-11-05 |
Nova Measuring Instruments Ltd. |
Method and system for optical characterization of patterned samples
|
US9784690B2
(en)
*
|
2014-05-12 |
2017-10-10 |
Kla-Tencor Corporation |
Apparatus, techniques, and target designs for measuring semiconductor parameters
|
US10012606B1
(en)
|
2014-06-24 |
2018-07-03 |
Kla-Tencor Corporation |
X-ray based metrology with primary and secondary illumination sources
|
KR101563165B1
(en)
*
|
2014-06-26 |
2015-10-26 |
주식회사 이오테크닉스 |
Marking method of wafer dies
|
WO2016003575A2
(en)
*
|
2014-07-02 |
2016-01-07 |
Applied Materials, Inc. |
Localized stress modulation for overlay and epe
|
US10151986B2
(en)
|
2014-07-07 |
2018-12-11 |
Kla-Tencor Corporation |
Signal response metrology based on measurements of proxy structures
|
KR20170092522A
(en)
|
2014-09-08 |
2017-08-11 |
더 리서치 파운데이션 포 더 스테이트 유니버시티 오브 뉴욕 |
Metallic gratings and measurement methods thereof
|
JP6219251B2
(en)
*
|
2014-09-17 |
2017-10-25 |
東芝メモリ株式会社 |
Semiconductor manufacturing equipment
|
FR3026484B1
(en)
*
|
2014-09-29 |
2018-06-15 |
Altatech Semiconductor |
METHOD AND SYSTEM FOR INSPECTING TRANSPARENT PLATES FOR ELECTRONICS, OPTICS OR OPTOELECTRONICS
|
US10210606B2
(en)
|
2014-10-14 |
2019-02-19 |
Kla-Tencor Corporation |
Signal response metrology for image based and scatterometry overlay measurements
|
KR101683548B1
(en)
*
|
2014-10-14 |
2016-12-08 |
(주)오로스 테크놀로지 |
A Measurement Device and Method of Overlay Measurement using an Electron Beam
|
US10215559B2
(en)
|
2014-10-16 |
2019-02-26 |
Kla-Tencor Corporation |
Metrology of multiple patterning processes
|
US10139352B2
(en)
*
|
2014-10-18 |
2018-11-27 |
Kla-Tenor Corporation |
Measurement of small box size targets
|
US9710728B2
(en)
|
2014-10-28 |
2017-07-18 |
Kla-Tencor Corporation |
Image based signal response metrology
|
US9739719B2
(en)
|
2014-10-31 |
2017-08-22 |
Kla-Tencor Corporation |
Measurement systems having linked field and pupil signal detection
|
US20160139032A1
(en)
*
|
2014-11-19 |
2016-05-19 |
Kla-Tencor Corporation |
Inspection system and method using an off-axis unobscured objective lens
|
US10345095B1
(en)
|
2014-11-20 |
2019-07-09 |
Kla- Tencor Corporation |
Model based measurement systems with improved electromagnetic solver performance
|
US9543219B2
(en)
|
2014-12-02 |
2017-01-10 |
Globalfoundries Inc. |
Void monitoring device for measurement of wafer temperature variations
|
KR20160066448A
(en)
|
2014-12-02 |
2016-06-10 |
삼성전자주식회사 |
Method for inspecting surface
|
US10072921B2
(en)
|
2014-12-05 |
2018-09-11 |
Kla-Tencor Corporation |
Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
|
JP2018503813A
(en)
*
|
2014-12-19 |
2018-02-08 |
ユニヴァーシティー オブ ユタ リサーチ ファウンデーション |
Interferometry system and related methods
|
US10324050B2
(en)
|
2015-01-14 |
2019-06-18 |
Kla-Tencor Corporation |
Measurement system optimization for X-ray based metrology
|
US9470639B1
(en)
|
2015-02-03 |
2016-10-18 |
Kla-Tencor Corporation |
Optical metrology with reduced sensitivity to grating anomalies
|
US9709437B2
(en)
*
|
2015-02-18 |
2017-07-18 |
City University Of Hong Kong |
System and method for detecting a defect in a structure member
|
US10185303B2
(en)
|
2015-02-21 |
2019-01-22 |
Kla-Tencor Corporation |
Optimizing computational efficiency by multiple truncation of spatial harmonics
|
US9970863B2
(en)
|
2015-02-22 |
2018-05-15 |
Kla-Tencor Corporation |
Optical metrology with reduced focus error sensitivity
|
US10365225B1
(en)
|
2015-03-04 |
2019-07-30 |
Kla-Tencor Corporation |
Multi-location metrology
|
US10502549B2
(en)
|
2015-03-24 |
2019-12-10 |
Kla-Tencor Corporation |
Model-based single parameter measurement
|
KR20160121206A
(en)
|
2015-04-10 |
2016-10-19 |
삼성전자주식회사 |
Method for detecting an overlay error and method for manufacturing semiconductor using the same
|
EP3081901A1
(en)
|
2015-04-17 |
2016-10-19 |
Hennecke Systems GmbH |
Inspection method and device for inspecting a surface pattern
|
KR102512180B1
(en)
|
2015-04-28 |
2023-03-20 |
케이엘에이 코포레이션 |
Computationally efficient X-ray based overlay measurements
|
US10030965B2
(en)
|
2015-05-08 |
2018-07-24 |
Kla-Tencor Corporation |
Model-based hot spot monitoring
|
JP6194922B2
(en)
*
|
2015-05-13 |
2017-09-13 |
トヨタ自動車株式会社 |
Method for measuring layer thickness of opaque laminate
|
US10094774B2
(en)
|
2015-08-12 |
2018-10-09 |
Industrial Technology Research Institute |
Scattering measurement system and method
|
EP3337915B1
(en)
|
2015-08-18 |
2021-11-03 |
SiO2 Medical Products, Inc. |
Pharmaceutical and other packaging with low oxygen transmission rate
|
US10380728B2
(en)
*
|
2015-08-31 |
2019-08-13 |
Kla-Tencor Corporation |
Model-based metrology using images
|
DE102015217091B4
(en)
*
|
2015-09-07 |
2017-05-11 |
Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V |
Arrangement for determining the achievable adhesive strength before formation of a material-locking connection on a surface of a joining partner
|
US10101676B2
(en)
|
2015-09-23 |
2018-10-16 |
KLA—Tencor Corporation |
Spectroscopic beam profile overlay metrology
|
US10620420B2
(en)
*
|
2015-09-23 |
2020-04-14 |
Filmetrics, Inc. |
Optical system for use with microscope
|
US10352695B2
(en)
|
2015-12-11 |
2019-07-16 |
Kla-Tencor Corporation |
X-ray scatterometry metrology for high aspect ratio structures
|
US9921152B2
(en)
|
2016-01-15 |
2018-03-20 |
Kla-Tencor Corporation |
Systems and methods for extended infrared spectroscopic ellipsometry
|
US9679822B1
(en)
|
2016-02-22 |
2017-06-13 |
Alpha And Omega Semiconductor Incorporated |
Method for monitoring epitaxial growth geometry shift
|
TWI579396B
(en)
*
|
2016-03-18 |
2017-04-21 |
萬國半導體股份有限公司 |
Method of monitoring epitaxial growth geometry shift
|
US10504759B2
(en)
|
2016-04-04 |
2019-12-10 |
Kla-Tencor Corporation |
Semiconductor metrology with information from multiple processing steps
|
US10451412B2
(en)
|
2016-04-22 |
2019-10-22 |
Kla-Tencor Corporation |
Apparatus and methods for detecting overlay errors using scatterometry
|
US10281263B2
(en)
*
|
2016-05-02 |
2019-05-07 |
Kla-Tencor Corporation |
Critical dimension measurements with gaseous adsorption
|
US10041873B2
(en)
|
2016-05-02 |
2018-08-07 |
Kla-Tencor Corporation |
Porosity measurement of semiconductor structures
|
US10145674B2
(en)
*
|
2016-05-02 |
2018-12-04 |
Kla-Tencor Corporation |
Measurement of semiconductor structures with capillary condensation
|
US11313809B1
(en)
|
2016-05-04 |
2022-04-26 |
Kla-Tencor Corporation |
Process control metrology
|
US9728470B1
(en)
*
|
2016-05-10 |
2017-08-08 |
Infineon Technologies Austria Ag |
Semiconductor structure and methods
|
JP6279013B2
(en)
*
|
2016-05-26 |
2018-02-14 |
Ckd株式会社 |
3D measuring device
|
JP6678253B2
(en)
*
|
2016-06-03 |
2020-04-08 |
エーエスエムエル ホールディング エヌ.ブイ. |
Alignment system Wafer stack beam analyzer
|
US9921104B2
(en)
|
2016-06-11 |
2018-03-20 |
Kla-Tencor Corporation |
Simultaneous multi-angle spectroscopy
|
JP6547695B2
(en)
|
2016-06-21 |
2019-07-24 |
株式会社デンソー |
Refrigeration cycle device
|
US11162781B2
(en)
|
2016-06-23 |
2021-11-02 |
University Of Utah Research Foundation |
Interferometry systems and methods
|
WO2017223542A1
(en)
|
2016-06-23 |
2017-12-28 |
University Of Utah Research Foundation |
Interferometry system and associated methods
|
KR102595300B1
(en)
*
|
2016-07-04 |
2023-10-31 |
삼성전자주식회사 |
Inspection method and system, and method of forming semiconductor package using the same
|
KR101806114B1
(en)
|
2016-08-01 |
2017-12-07 |
주식회사 트윔 |
Superhigh Speed Panel Align System
|
GB201613988D0
(en)
|
2016-08-16 |
2016-09-28 |
Micromass Uk Ltd And Leco Corp |
Mass analyser having extended flight path
|
US10438825B2
(en)
|
2016-08-29 |
2019-10-08 |
Kla-Tencor Corporation |
Spectral reflectometry for in-situ process monitoring and control
|
US10458912B2
(en)
|
2016-08-31 |
2019-10-29 |
Kla-Tencor Corporation |
Model based optical measurements of semiconductor structures with anisotropic dielectric permittivity
|
JP7164289B2
(en)
*
|
2016-09-05 |
2022-11-01 |
東京エレクトロン株式会社 |
Position-Specific Tuning of Bow-Controlling Stress to Control Overlay During Semiconductor Processing
|
US10215693B2
(en)
|
2016-09-29 |
2019-02-26 |
Kla-Tencor Corporation |
Infrared spectroscopic reflectometer for measurement of high aspect ratio structures
|
WO2018071716A1
(en)
|
2016-10-13 |
2018-04-19 |
Kla-Tencor Corporation |
Metrology systems and methods for process control
|
CN110100174B
(en)
|
2016-10-20 |
2022-01-18 |
科磊股份有限公司 |
Hybrid metrology for patterned wafer characterization
|
TWI728197B
(en)
|
2016-10-24 |
2021-05-21 |
美商克萊譚克公司 |
Process module(s) integrated into a metrology and/or inspection tool
|
US11086240B2
(en)
|
2016-12-19 |
2021-08-10 |
Asml Netherlands B.V. |
Metrology sensor, lithographic apparatus and method for manufacturing devices
|
KR102489419B1
(en)
|
2017-01-13 |
2023-01-18 |
어플라이드 머티어리얼스, 인코포레이티드 |
Resistivity-based adjustment of measurements from in-situ monitoring
|
KR101922973B1
(en)
|
2017-01-25 |
2018-11-28 |
(주)엘립소테크놀러지 |
Microspot spectroscopic ellipsometer with 4-reflectors
|
CN106647147B
(en)
*
|
2017-02-15 |
2022-05-17 |
苏州德创测控科技有限公司 |
Non-coplanar image acquisition device
|
US10690602B2
(en)
|
2017-02-17 |
2020-06-23 |
Kla-Tencor Corporation |
Methods and systems for measurement of thick films and high aspect ratio structures
|
US10732516B2
(en)
|
2017-03-01 |
2020-08-04 |
Kla Tencor Corporation |
Process robust overlay metrology based on optical scatterometry
|
US11022877B2
(en)
*
|
2017-03-13 |
2021-06-01 |
Applied Materials, Inc. |
Etch processing system having reflective endpoint detection
|
GB2560951B
(en)
*
|
2017-03-29 |
2020-06-17 |
Redlux Ltd |
Inspection of components for imperfections
|
US9940074B1
(en)
*
|
2017-03-31 |
2018-04-10 |
Konica Minolta Laboratory U.S.A., Inc. |
Method to determine the best printing device amongst a group of printing devices using an in-line spectrophotometer
|
US10042592B1
(en)
|
2017-03-31 |
2018-08-07 |
Konica Minolta Laboratory U.S.A., Inc. |
Method to determine the best printing device amongst a group of printing devices using an in-line spectrophotometer
|
US10444162B2
(en)
|
2017-04-03 |
2019-10-15 |
Samsung Electronics Co., Ltd. |
Method of testing an object and apparatus for performing the same
|
GB2567794B
(en)
|
2017-05-05 |
2023-03-08 |
Micromass Ltd |
Multi-reflecting time-of-flight mass spectrometers
|
GB2563571B
(en)
|
2017-05-26 |
2023-05-24 |
Micromass Ltd |
Time of flight mass analyser with spatial focussing
|
WO2019030475A1
(en)
|
2017-08-06 |
2019-02-14 |
Anatoly Verenchikov |
Multi-pass mass spectrometer
|
US11081332B2
(en)
|
2017-08-06 |
2021-08-03 |
Micromass Uk Limited |
Ion guide within pulsed converters
|
US11817303B2
(en)
|
2017-08-06 |
2023-11-14 |
Micromass Uk Limited |
Accelerator for multi-pass mass spectrometers
|
EP3662502A1
(en)
|
2017-08-06 |
2020-06-10 |
Micromass UK Limited |
Printed circuit ion mirror with compensation
|
WO2019030473A1
(en)
|
2017-08-06 |
2019-02-14 |
Anatoly Verenchikov |
Fields for multi-reflecting tof ms
|
EP3662503A1
(en)
|
2017-08-06 |
2020-06-10 |
Micromass UK Limited |
Ion injection into multi-pass mass spectrometers
|
WO2019030472A1
(en)
|
2017-08-06 |
2019-02-14 |
Anatoly Verenchikov |
Ion mirror for multi-reflecting mass spectrometers
|
US10699969B2
(en)
*
|
2017-08-30 |
2020-06-30 |
Kla-Tencor Corporation |
Quick adjustment of metrology measurement parameters according to process variation
|
US10898986B2
(en)
|
2017-09-15 |
2021-01-26 |
Applied Materials, Inc. |
Chattering correction for accurate sensor position determination on wafer
|
US10365211B2
(en)
|
2017-09-26 |
2019-07-30 |
Kla-Tencor Corporation |
Systems and methods for metrology beam stabilization
|
US10732515B2
(en)
|
2017-09-27 |
2020-08-04 |
Kla-Tencor Corporation |
Detection and measurement of dimensions of asymmetric structures
|
US10551166B2
(en)
*
|
2017-10-11 |
2020-02-04 |
Kla-Tencor Corporation |
Optical measurement of a highly absorbing film layer over highly reflective film stacks
|
EP3474074A1
(en)
|
2017-10-17 |
2019-04-24 |
ASML Netherlands B.V. |
Scatterometer and method of scatterometry using acoustic radiation
|
KR102450776B1
(en)
*
|
2017-10-27 |
2022-10-05 |
삼성전자주식회사 |
Laser processing method, substrate dicing method, and substrate processing apparatus for performing the same
|
KR102369936B1
(en)
|
2017-12-08 |
2022-03-03 |
삼성전자주식회사 |
Optical measuring method
|
JP7012538B2
(en)
*
|
2018-01-11 |
2022-01-28 |
株式会社ディスコ |
Wafer evaluation method
|
DE112019000022T5
(en)
*
|
2018-01-31 |
2019-10-24 |
Asml Netherlands B.V. |
Method for marking substrates on the basis of process parameters
|
WO2019164452A1
(en)
*
|
2018-02-22 |
2019-08-29 |
Agency For Science, Technology And Research |
Methods and apparatus for fluorescence microscopy
|
US11036898B2
(en)
|
2018-03-15 |
2021-06-15 |
Kla-Tencor Corporation |
Measurement models of nanowire semiconductor structures based on re-useable sub-structures
|
US11519869B2
(en)
|
2018-03-20 |
2022-12-06 |
Kla Tencor Corporation |
Methods and systems for real time measurement control
|
CN108461412A
(en)
*
|
2018-03-22 |
2018-08-28 |
北京北方华创微电子装备有限公司 |
On-line monitoring system and semiconductor processing equipment
|
US11056366B2
(en)
*
|
2018-03-23 |
2021-07-06 |
Kla Corporation |
Sample transport device with integrated metrology
|
TWI825075B
(en)
|
2018-04-03 |
2023-12-11 |
美商應用材料股份有限公司 |
Polishing apparatus, polishing system, method, and computer storage medium using machine learning and compensation for pad thickness
|
GB201806507D0
(en)
|
2018-04-20 |
2018-06-06 |
Verenchikov Anatoly |
Gridless ion mirrors with smooth fields
|
US11441893B2
(en)
|
2018-04-27 |
2022-09-13 |
Kla Corporation |
Multi-spot analysis system with multiple optical probes
|
GB201807605D0
(en)
|
2018-05-10 |
2018-06-27 |
Micromass Ltd |
Multi-reflecting time of flight mass analyser
|
GB201807626D0
(en)
|
2018-05-10 |
2018-06-27 |
Micromass Ltd |
Multi-reflecting time of flight mass analyser
|
GB201808530D0
(en)
|
2018-05-24 |
2018-07-11 |
Verenchikov Anatoly |
TOF MS detection system with improved dynamic range
|
DE112018007301B4
(en)
*
|
2018-05-30 |
2023-11-30 |
Hitachi High-Tech Corporation |
Wafer inspection device and wafer inspection method
|
KR20190139652A
(en)
|
2018-06-08 |
2019-12-18 |
삼성전자주식회사 |
Inspection and metrology apparatus, and inspection and metrology method of the same
|
US11069583B2
(en)
|
2018-06-20 |
2021-07-20 |
Veeco Instruments Inc. |
Apparatus and method for the minimization of undercut during a UBM etch process
|
TW202000993A
(en)
|
2018-06-20 |
2020-01-01 |
美商維克精密表面處理股份有限公司 |
An apparatus and method for the minimization of undercut during a UBM etch process
|
US11340057B2
(en)
|
2018-06-22 |
2022-05-24 |
Northwestern University |
Systems and methods for interferometric multifocus microscopy
|
CN111512425A
(en)
|
2018-06-27 |
2020-08-07 |
应用材料公司 |
Temperature control for chemical mechanical polishing
|
GB201810573D0
(en)
|
2018-06-28 |
2018-08-15 |
Verenchikov Anatoly |
Multi-pass mass spectrometer with improved duty cycle
|
US10761398B2
(en)
*
|
2018-06-29 |
2020-09-01 |
Mitutoyo Corporation |
Imaging ellipsometer system utilizing a tunable acoustic gradient lens
|
JP7114736B2
(en)
*
|
2018-11-12 |
2022-08-08 |
株式会社日立ハイテク |
Image forming method and image forming system
|
US10942135B2
(en)
|
2018-11-14 |
2021-03-09 |
Kla Corporation |
Radial polarizer for particle detection
|
US11060846B2
(en)
|
2018-12-19 |
2021-07-13 |
Kla Corporation |
Scatterometry based methods and systems for measurement of strain in semiconductor structures
|
WO2020128593A1
(en)
*
|
2018-12-20 |
2020-06-25 |
Arcelormittal |
Measure of the degree of crystallinity of a polymer coating on a metal substrate
|
US10801953B2
(en)
|
2019-01-11 |
2020-10-13 |
Kla-Tencor Corporation |
Semiconductor metrology based on hyperspectral imaging
|
US10804167B2
(en)
|
2019-01-24 |
2020-10-13 |
Kla-Tencor Corporation |
Methods and systems for co-located metrology
|
US11137350B2
(en)
|
2019-01-28 |
2021-10-05 |
Kla Corporation |
Mid-infrared spectroscopy for measurement of high aspect ratio structures
|
GB201901411D0
(en)
|
2019-02-01 |
2019-03-20 |
Micromass Ltd |
Electrode assembly for mass spectrometer
|
US11635344B2
(en)
|
2019-02-01 |
2023-04-25 |
Optikos Corporation |
Portable optic metrology thermal chamber module and method therefor
|
US10948423B2
(en)
|
2019-02-17 |
2021-03-16 |
Kla Corporation |
Sensitive particle detection with spatially-varying polarization rotator and polarizer
|
NL2024878A
(en)
*
|
2019-02-19 |
2020-08-27 |
Asml Holding Nv |
Metrology system, lithographic apparatus, and method
|
US11016024B2
(en)
*
|
2019-02-19 |
2021-05-25 |
Kla Corporation |
Air scattering standard for light scattering based optical instruments and tools
|
US11060982B2
(en)
|
2019-03-17 |
2021-07-13 |
Kla Corporation |
Multi-dimensional model of optical dispersion
|
JP7299728B2
(en)
*
|
2019-03-22 |
2023-06-28 |
ファスフォードテクノロジ株式会社 |
Semiconductor manufacturing equipment and semiconductor device manufacturing method
|
KR102180113B1
(en)
*
|
2019-04-30 |
2020-11-18 |
한양대학교 산학협력단 |
Thickness measuring device
|
US11628478B2
(en)
|
2019-05-29 |
2023-04-18 |
Applied Materials, Inc. |
Steam cleaning of CMP components
|
US11633833B2
(en)
|
2019-05-29 |
2023-04-25 |
Applied Materials, Inc. |
Use of steam for pre-heating of CMP components
|
TW202110575A
(en)
|
2019-05-29 |
2021-03-16 |
美商應用材料股份有限公司 |
Steam treatment stations for chemical mechanical polishing system
|
TW202113331A
(en)
*
|
2019-06-10 |
2021-04-01 |
日商東京威力科創股份有限公司 |
Substrate processing device, substrate inspection method, and storage medium
|
EP3994523A1
(en)
|
2019-07-02 |
2022-05-11 |
ASML Netherlands B.V. |
Metrology method and associated metrology and lithographic apparatuses
|
US11897079B2
(en)
|
2019-08-13 |
2024-02-13 |
Applied Materials, Inc. |
Low-temperature metal CMP for minimizing dishing and corrosion, and improving pad asperity
|
CN110473798B
(en)
|
2019-08-19 |
2021-10-19 |
上海华力微电子有限公司 |
Method for detecting ultra-small-size defects on wafer surface
|
US11460418B2
(en)
|
2019-08-26 |
2022-10-04 |
Kla Corporation |
Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
|
CN110567985B
(en)
*
|
2019-10-14 |
2021-10-08 |
重庆大学 |
Self-adaptive gear pitting quantitative evaluation and detection device based on deep learning
|
US10895727B1
(en)
|
2019-10-19 |
2021-01-19 |
SequLITE Genomics US, Inc. |
Microscope for locating structures on the inner surface of a fluidic channel
|
WO2021081804A1
(en)
*
|
2019-10-30 |
2021-05-06 |
Yangtze Memory Technologies Co., Ltd |
Method for calibrating verticality of particle beam and system applied to semiconductor fabrication process
|
CN110927170B
(en)
*
|
2019-12-04 |
2022-03-08 |
中国工程物理研究院激光聚变研究中心 |
Defect determination method, device and system
|
TWI721720B
(en)
*
|
2019-12-19 |
2021-03-11 |
由田新技股份有限公司 |
Light source device and optical inspection system
|
US11698251B2
(en)
|
2020-01-07 |
2023-07-11 |
Kla Corporation |
Methods and systems for overlay measurement based on soft X-ray Scatterometry
|
CN111398175B
(en)
*
|
2020-03-05 |
2021-09-07 |
南京大学 |
Acoustic velocity self-adaptive photoacoustic-ultrasonic bimodal microscope imaging method
|
KR20220142476A
(en)
*
|
2020-03-13 |
2022-10-21 |
에이에스엠엘 네델란즈 비.브이. |
Leveling sensors in multi-charged particle beam inspection
|
JP2023517449A
(en)
|
2020-05-14 |
2023-04-26 |
アプライド マテリアルズ インコーポレイテッド |
Techniques and polishing systems for training neural networks for use in in-situ monitoring during polishing
|
EP4157801A1
(en)
*
|
2020-05-26 |
2023-04-05 |
Saint-Gobain Glass France |
Method for estimating a quality function of a mono- or multi-layered coated transparent substrate
|
CN115038549B
(en)
|
2020-06-24 |
2024-03-12 |
应用材料公司 |
Substrate layer thickness determination using polishing pad wear compensation
|
JP2023518650A
(en)
|
2020-06-29 |
2023-05-08 |
アプライド マテリアルズ インコーポレイテッド |
Steam generation control for chemical mechanical polishing
|
EP4171873A1
(en)
|
2020-06-29 |
2023-05-03 |
Applied Materials, Inc. |
Temperature and slurry flow rate control in cmp
|
US11577358B2
(en)
|
2020-06-30 |
2023-02-14 |
Applied Materials, Inc. |
Gas entrainment during jetting of fluid for temperature control in chemical mechanical polishing
|
US11919123B2
(en)
|
2020-06-30 |
2024-03-05 |
Applied Materials, Inc. |
Apparatus and method for CMP temperature control
|
EP3970898A1
(en)
*
|
2020-09-18 |
2022-03-23 |
Laser Systems & Solutions of Europe |
System and method to minimize irradiation non uniformity
|
WO2022059202A1
(en)
|
2020-09-18 |
2022-03-24 |
株式会社日立ハイテク |
Inspection system
|
US20230273253A1
(en)
|
2020-09-29 |
2023-08-31 |
Hitachi High-Tech Corporation |
Semiconductor inspection device and method for inspecting semiconductor sample
|
TWI759913B
(en)
*
|
2020-10-16 |
2022-04-01 |
天虹科技股份有限公司 |
Detection system and method of film thickness of atomic layer deposition
|
US11487848B2
(en)
*
|
2021-01-29 |
2022-11-01 |
Applied Materials, Inc. |
Process abnormality identification using measurement violation analysis
|
DE102021206564A1
(en)
*
|
2021-06-24 |
2022-12-29 |
Carl Zeiss Smt Gmbh |
ENDPOINT DETERMINATION BY INDUCED DESORPTION OF GASES AND RECOVERING ANALYSIS
|
CN113777048B
(en)
*
|
2021-08-11 |
2023-07-25 |
华中科技大学 |
Coaxial ultrafast spectrum ellipsometer and measurement method
|
US11747269B2
(en)
|
2021-11-09 |
2023-09-05 |
Warsaw Orthopedic, Inc. |
Systems and methods for identifying a coating on an implant
|
US20230195060A1
(en)
*
|
2021-12-21 |
2023-06-22 |
Applied Materials, Inc. |
Substrate support characterization to build a digital twin
|