WO2020196563A1 - 膜形成用組成物 - Google Patents

膜形成用組成物 Download PDF

Info

Publication number
WO2020196563A1
WO2020196563A1 PCT/JP2020/013162 JP2020013162W WO2020196563A1 WO 2020196563 A1 WO2020196563 A1 WO 2020196563A1 JP 2020013162 W JP2020013162 W JP 2020013162W WO 2020196563 A1 WO2020196563 A1 WO 2020196563A1
Authority
WO
WIPO (PCT)
Prior art keywords
group
film
optionally substituted
methyl
forming composition
Prior art date
Application number
PCT/JP2020/013162
Other languages
English (en)
French (fr)
Inventor
亘 柴山
諭 武田
修平 志垣
謙 石橋
宏大 加藤
中島 誠
Original Assignee
日産化学株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日産化学株式会社 filed Critical 日産化学株式会社
Priority to KR1020217033453A priority Critical patent/KR20210149744A/ko
Priority to CN202080039710.9A priority patent/CN113891906A/zh
Priority to US17/598,955 priority patent/US20220187709A1/en
Priority to JP2021509470A priority patent/JPWO2020196563A1/ja
Publication of WO2020196563A1 publication Critical patent/WO2020196563A1/ja

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0755Non-macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/06Preparatory processes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/20Polysiloxanes containing silicon bound to unsaturated aliphatic groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/22Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen
    • C08G77/26Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen nitrogen-containing groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/22Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen
    • C08G77/28Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen sulfur-containing groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/80Siloxanes having aromatic substituents, e.g. phenyl side groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • C08L83/08Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/08Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen, and oxygen
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Definitions

  • the present invention relates to a film-forming composition.
  • a thin film of photoresist is formed on a semiconductor substrate such as a silicon wafer, and an active ray such as ultraviolet rays is irradiated through a mask pattern on which a pattern of a semiconductor device is drawn. Then, the substrate is etched using the obtained resist pattern as a protective film to form fine irregularities corresponding to the above pattern on the surface of the substrate.
  • an active ray such as ultraviolet rays
  • the substrate is etched using the obtained resist pattern as a protective film to form fine irregularities corresponding to the above pattern on the surface of the substrate.
  • a method of providing a resist underlayer film called an antireflection film (Bottom Anti-Reflective Coating, BARC) between substrates has been widely applied. Further, as the resist pattern becomes finer, problems such as resolution, dimensional accuracy, and pattern collapse may occur, so that the resist is thinned. Therefore, it is difficult to obtain a resist pattern film thickness sufficient for substrate processing, and not only the resist pattern but also the resist underlayer film formed between the resist and the semiconductor substrate to be processed can function as a mask during substrate processing. There is a need for a process to have.
  • Patent Documents 1 and 2 a composition for forming a resist underlayer film containing a silane compound having an onium group and a resist underlayer film containing a silane compound having an anion group have been reported.
  • the present invention has been made in view of the above circumstances, and is suitable as a resist underlayer film forming composition capable of forming a resist underlayer film having both good adhesion to EUV resist and good etching processability. , It is an object of the present invention to provide a composition for forming a film.
  • the present inventors focused on a system capable of curing without including a curing catalyst as an additive, and studied various silicon-containing underlayer films in which a catalyst function was imparted to a polymer skeleton.
  • a film-forming composition containing at least one selected from a hydrolyzable silane having a cyano group in the molecule, its hydrolyzate and its hydrolyzed condensate, and a solvent has good adhesion to an EUV resist.
  • the present invention was completed by finding that a thin film having properties and capable of forming an excellent resist pattern when used as an underlayer film of an EUV resist can be obtained, and a thin film having good dry etching processability can also be obtained. It was.
  • the present invention is, as a first aspect, a film-forming composition containing at least one selected from a hydrolyzable silane compound, a hydrolyzate thereof, and a hydrolyzed condensate thereof, and a solvent.
  • the hydrolyzable silane compound is characterized by containing a hydrolyzable silane represented by the following formula (1) having a cyano group in the molecule.
  • the present invention relates to a film-forming composition.
  • R 1 is a group bonded to a silicon atom and represents an organic group containing a cyano group.
  • R 2 is a group that is bonded to a silicon atom by a SiC bond, and is an alkyl group that may be substituted, an aryl group that may be substituted, or an alkoxyl group that may be substituted independently of each other.
  • R 3 is a group or atom bonded to a silicon atom and independently represents a hydroxy group, an alkoxy group, an aralkyloxy group, an acyloxy group or a halogen atom.
  • a represents an integer of 1
  • b represents an integer of 0 to 2
  • a + b represents an integer of 1 to 3.
  • one or more hydrogen atoms in the alkyl group selected from the group in which the organic group containing the cyano group is a chain alkyl group, a branched alkyl group, and a cyclic alkyl group is a cyano group
  • the composition for film formation according to the first aspect which is an organic group substituted with a cyano-containing group selected from -CN) and a thiocyanato group (-S-CN).
  • the film-forming composition according to the first aspect or the second aspect which comprises a hydrolyzable condensate of the hydrolyzable silane compound.
  • the hydrolyzable silane compound is further added. It contains at least one selected from the hydrolyzable silane represented by the following formula (2) and the hydrolyzable silane represented by the following formula (3).
  • R 4 is a group that is bonded to a silicon atom by a SiC bond, and is an alkyl group that may be substituted, an aryl group that may be substituted, or an alkoxyl group that may be substituted independently of each other.
  • R 5 is a group or atom bonded to a silicon atom, and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom.
  • R 6 is a group that is bonded to a silicon atom by a SiC bond, and is an alkyl group that may be substituted, an aryl group that may be substituted, or an alkoxyl group that may be substituted independently of each other.
  • R 7 is a group or atom bonded to a silicon atom, and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom.
  • the hydrolyzed condensate contains hydrolyzable silane having a cyano group represented by the formula (1) in the molecule in an amount of 0.1 mol% to 10 mol% based on the total amount of the hydrolyzable silane compound.
  • a hydrolyzed condensate of a hydrolyzable silane compound, contained in a proportion of mol%, The film-forming composition according to any one of the first to fourth aspects.
  • hydrolysis of the hydrolyzable silane compound is carried out using nitric acid as a hydrolysis catalyst.
  • the film-forming composition according to any one of the first to sixth aspects wherein the solvent contains water.
  • the film-forming composition according to any one of the first to seventh aspects further comprising a pH adjuster.
  • the film-forming composition according to any one of the first to eighth aspects further comprising a surfactant.
  • the tenth aspect relates to the film-forming composition according to any one of the first aspect to the ninth aspect, which is for the underlayer film of the resist for EUV lithography.
  • the eleventh aspect relates to a resist underlayer film obtained from the film-forming composition according to any one of the first to tenth aspects.
  • the present invention relates to a semiconductor processing substrate including a semiconductor substrate and the resist underlayer film according to the eleventh aspect.
  • a film-forming composition capable of forming a thin film having good adhesion to an EUV resist and good etching processability having a high fluorine-based etch rate by using a composition containing a solvent and a solvent. it can. Then, by using such a film-forming composition of the present invention, it is possible to form a thin film capable of forming a fine resist pattern and high transferability to a base substrate.
  • the solid content means a component other than the solvent contained in the composition.
  • the film-forming composition of the present invention comprises at least one selected from a specific hydrolyzable silane compound, its hydrolyzate and its hydrolyzed condensate, that is, one of them.
  • the hydrolyzate or hydrolyzed condensate includes 2 or 3 types, but the hydrolyzate or hydrolyzed condensate includes a partial hydrolyzate or a partially hydrolyzed condensate in which hydrolysis is not completely completed.
  • the film-forming composition of the present invention contains at least one selected from a hydrolyzable silane compound, a hydrolyzate thereof and a hydrolyzed condensate thereof, and a solvent, and the hydrolyzable silane compound contains a cyano group. It is characterized by containing a hydrolyzable silane having in the molecule.
  • hydrolyzable silane having a cyano group in the molecule contained in the hydrolyzable silane compound used in the film-forming composition of the present invention is represented by the following formula (1).
  • R 1 is a group bonded to a silicon atom and represents an organic group containing a cyano group.
  • a group is not particularly limited as long as it is an organic group containing a cyano group.
  • a cyano group-containing group a cyano group (-CN) or a thiocyanato group (-SCN) itself, and in particular one or more hydrogen atoms in an alkyl group is at least one of a cyano group (-CN) and a thiocyanato group (-SCN).
  • an organic group substituted with both can be mentioned.
  • the alkyl group in which the hydrogen atom is substituted by the cyano group or the thiocyanato group is not particularly limited, and may be linear, branched or cyclic, and the number of carbon atoms thereof is usually 40 or less. For example, it can be 30 or less, more for example, 20 or less, and 10 or less.
  • linear or branched alkyl group in which the hydrogen atom can be replaced by the above cyano group or thiocyanato group include methyl group, ethyl group, n-propyl group, i-propyl group, n-butyl group and i.
  • cyclic alkyl group in which the hydrogen atom can be replaced by the above-mentioned cyano group or thiocyanato group include cyclopropyl group, cyclobutyl group, 1-methyl-cyclopropyl group, 2-methyl-cyclopropyl group and cyclopentyl group.
  • examples of R 1 include a cyanoethyl group, a cyanobicycloheptyl group, and a thiocianatopropyl group.
  • R 2 is a group bonded to a silicon atom by a Si—C bond, and is an alkyl group which may be substituted independently of each other, an aryl group which may be substituted, and a substituent.
  • alkyl group examples include linear or branched alkyl groups having 1 to 10 carbon atoms, such as methyl group, ethyl group, n-propyl group, i-propyl group, n-butyl group and i-.
  • Cyclic alkyl groups can also be used.
  • cyclic alkyl groups having 1 to 10 carbon atoms cyclopropyl group, cyclobutyl group, 1-methyl-cyclopropyl group, 2-methyl-cyclopropyl group, cyclopentyl group, 1 -Methyl-cyclobutyl group, 2-methyl-cyclobutyl group, 3-methyl-cyclobutyl group, 1,2-dimethyl-cyclopropyl group, 2,3-dimethyl-cyclopropyl group, 1-ethyl-cyclopropyl group, 2- Ethyl-cyclopropyl group, cyclohexyl group, 1-methyl-cyclopentyl group, 2-methyl-cyclopentyl group, 3-methyl-cyclopentyl group, 1-ethyl-cyclobutyl group, 2-ethyl-cyclobutyl group, 3-ethyl-cyclobutyl group , 1,2-dimethyl-cyclobutyl group, 1,
  • aryl group examples include an aryl group having 6 to 20 carbon atoms, for example, a phenyl group, an o-methylphenyl group, an m-methylphenyl group, a p-methylphenyl group, an o-chlorophenyl group, and an m-chlorphenyl group.
  • the aralkyl group is an alkyl group substituted with an aryl group, and specific examples of such an aryl group and an alkyl group include the same as those described above.
  • the number of carbon atoms of the aralkyl group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and even more preferably 20 or less.
  • Specific examples of the aralkyl group include, for example, a phenylmethyl group (benzyl group), a 2-phenylethylene group, a 3-phenyl-n-propyl group, a 4-phenyl-n-butyl group, a 5-phenyl-n-pentyl group, and the like.
  • Examples thereof include 6-phenyl-n-hexyl group, 7-phenyl-n-heptyl group, 8-phenyl-n-octyl group, 9-phenyl-n-nonyl group, 10-phenyl-n-decyl group and the like. However, it is not limited to these.
  • the alkyl halide group refers to an alkyl group substituted with a halogen atom.
  • the halogen atom include a fluorine atom, a chlorine atom, a bromine atom, an iodine atom and the like, and specific examples of the alkyl group include the same as those described above.
  • the number of carbon atoms of the alkyl halide group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, still more preferably 20 or less, still more preferably 10 or less.
  • alkyl halide group examples include monofluoromethyl group, difluoromethyl group, trifluoromethyl group, bromodifluoromethyl group, 2-chloroethyl group, 2-bromoethyl group, 1,1-difluoroethyl group, 2,2.
  • 2-Trifluoroethyl group 1,1,2,2-tetrafluoroethyl group, 2-chloro-1,1,2-trifluoroethyl group, pentafluoroethyl group, 3-bromopropyl group, 2,2 , 3,3-Tetrafluoropropyl group, 1,1,2,3,3,3-hexafluoropropyl group, 1,1,1,3,3,3-hexafluoropropane-2-yl group, 3- Examples thereof include, but are not limited to, a bromo-2-methylpropyl group, a 4-bromobutyl group, and a perfluoropentyl group.
  • the aryl halide group is an aryl group substituted with a halogen atom, and specific examples of such an aryl group and a halogen atom include the same as those described above.
  • the number of carbon atoms of the aryl halide group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and even more preferably 20 or less.
  • Specific examples of the aryl halide group include 2-fluorophenyl group, 3-fluorophenyl group, 4-fluorophenyl group, 2,3-difluorophenyl group, 2,4-difluorophenyl group, and 2,5-difluorophenyl.
  • the halogenated aralkyl group is an aralkyl group substituted with a halogen atom, and specific examples of such an aralkyl group and the halogen atom include the same as those described above.
  • the number of carbon atoms of the halogenated aralkyl group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and even more preferably 20 or less.
  • Specific examples of the halogenated aralkyl group include 2-fluorobenzyl group, 3-fluorobenzyl group, 4-fluorobenzyl group, 2,3-difluorobenzyl group, 2,4-difluorobenzyl group, and 2,5-difluorobenzyl group.
  • the alkoxyalkyl group refers to an alkyl group substituted with an alkoxy group. Specific examples of such an alkyl group include the same as those described above.
  • alkoxy group examples include an alkoxy group having a linear, branched, and cyclic alkyl moiety having 1 to 20 carbon atoms, and examples thereof include a methoxy group, an ethoxy group, an n-propoxy group, an i-propoxy group, and an n-butoxy.
  • Cyclopentyroxy group 1-methyl-cyclobutoxy group, 2-methyl-cyclobutoxy group, 3-methyl-cyclobutoxy group, 1,2-dimethyl-cyclopropoxy group, 2,3-dimethyl-cyclopropoxy group, 1-Ethyl-cyclopropoxy group, 2-ethyl-cyclopropoxy group, cyclohexyloxy group, 1-methyl-cyclopentyloxy group, 2-methyl-cyclopentyloxy group, 3-methyl-cyclopentyloxy group, 1-ethyl -Cyclobutoxy group, 2-ethyl-cyclobutoxy group, 3-ethyl-cyclobutoxy group, 1,2-dimethyl-cyclobutoxy group, 1,3-dimethyl-cyclobutoxy group, 2,2-dimethyl-cyclobutoxy group , 2,3-dimethyl-cyclobutoxy group, 2,4-dimethyl-cyclobutoxy group, 3,3-dimethyl-cyclobutoxy group, 1-n-propyl-cyclopropyl
  • the number of carbon atoms of the alkoxyalkyl group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, still more preferably 20 or less, still more preferably 10 or less.
  • Specific examples of the alkoxyalkyl group include, but are not limited to, lower alkyloxy lower alkyl groups such as methoxymethyl group, ethoxymethyl group, 1-ethoxyethyl group, 2-ethoxyethyl group and ethoxymethyl group. ..
  • the alkoxyaryl group is an aryl group substituted with an alkoxy group, and specific examples of such an alkoxy group and an aryl group include the same as those described above.
  • the number of carbon atoms of the alkoxyaryl group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and even more preferably 20 or less.
  • alkoxyaryl group examples include, for example, 2-methoxyphenyl group, 3-methoxyphenyl group, 4-methoxyphenyl group, 2- (1-ethoxy) phenyl group, 3- (1-ethoxy) phenyl group, and 4 -(1-ethoxy) phenyl group, 2- (2-ethoxy) phenyl group, 3- (2-ethoxy) phenyl group, 4- (2-ethoxy) phenyl group, 2-methoxynaphthalen-1-yl group, 3 -Methoxynaphthalen-1-yl group, 4-methoxynaphthalen-1-yl group, 5-methoxynaphthalen-1-yl group, 6-methoxynaphthalen-1-yl group, 7-methoxynaphthalen-1-yl group, etc. However, it is not limited to these.
  • the alkoxy aralkyl group is an aralkyl group substituted with an alkoxy group, and specific examples of such an alkoxy group and an aralkyl group include the same as those described above.
  • the number of carbon atoms of the alkoxyaralkyl group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and even more preferably 20 or less.
  • Specific examples of the alkoxyaralkyl group include, but are not limited to, a 3- (methoxyphenyl) benzyl group, a 4- (methoxyphenyl) benzyl group and the like.
  • alkenyl group examples include an alkenyl group having 2 to 10 carbon atoms, for example, an ethenyl group, a 1-propenyl group, a 2-propenyl group, a 1-methyl-1-ethenyl group, a 1-butenyl group and a 2-butenyl group.
  • Examples of the substituent in the alkyl group, aryl group, aralkyl group, alkyl halide group, aryl halide group, halogenated aralkyl group, alkoxyalkyl group, alkoxyaryl group, alkoxyaralkyl group, and alkenyl group include an alkyl group and an alkyl group. Examples thereof include aryl group, aralkyl group, alkyl halide group, aryl halide group, aralkyl halide group, alkoxyalkyl group, aryloxy group, alkoxyaryl group, alkoxyaralkyl group, alkenyl group, alkoxy group, aralkyloxy group and the like.
  • aryloxy group is a group in which an aryl group is bonded via an oxygen atom (—O—), and specific examples of such an aryl group include the same as those described above.
  • the number of carbon atoms of the aryloxy group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, still more preferably 20 or less, and specific examples thereof include a phenoxy group and naphthalene. 2-Iloxy group and the like can be mentioned, but the present invention is not limited thereto. Further, when two or more substituents are present, the substituents may be bonded to each other to form a ring.
  • Examples of the organic group containing the epoxy group include, but are not limited to, a glycidoxymethyl group, a glycidoxyethyl group, a glycidoxypropyl group, a glycidoxybutyl group, an epoxycyclohexyl group and the like.
  • Examples of the organic group containing the acryloyl group include, but are not limited to, an acryloyl methyl group, an acryloyl ethyl group, and an acryloyl propyl group.
  • Examples of the organic group containing a methacryloyl group include, but are not limited to, a methacryloylmethyl group, a methacryloylethyl group, a methacryloylpropyl group, and the like.
  • Examples of the organic group containing the mercapto group include, but are not limited to, an ethyl mercapto group, a butyl mercapto group, a hexyl mercapto group, an octyl mercapto group and the like.
  • Examples of the organic group containing an amino group include, but are not limited to, an amino group, an aminomethyl group, an aminoethyl group, a dimethylaminoethyl group, a dimethylaminopropyl group and the like.
  • Examples of the organic group containing an amino group and an amide group include a cyanuric acid derivative.
  • Examples of the organic group containing a sulfonyl group include, but are not limited to, a sulfonylalkyl group and a sulfonylaryl group.
  • R 3 is a group or atom bonded to a silicon atom and independently represents a hydroxy group, an alkoxy group, an aralkyloxy group, an acyloxy group or a halogen atom.
  • alkoxy group and halogen atom include the same as those described above.
  • the aralkyloxy group is a group derived by removing a hydrogen atom from the hydroxy group of the aralkyl alcohol, and specific examples of such an aralkyl group include the same as those described above.
  • the number of carbon atoms of the aralkyloxy group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and even more preferably 20 or less.
  • Specific examples of the aralkyloxy group include phenylmethyloxy group (benzyloxy group), 2-phenylethyleneoxy group, 3-phenyl-n-propyloxy group, 4-phenyl-n-butyloxy group, and 5-phenyl-n.
  • the acyloxy group is a group derived by removing a hydrogen atom from the carboxylic acid group of a carboxylic acid compound, and is typically derived by removing a hydrogen atom from the carboxy group of an alkylcarboxylic acid, an arylcarboxylic acid or an aralkylcarboxylic acid. Examples thereof include, but are not limited to, an alkylcarbonyloxy group, an arylcarbonyloxy group or an aralkylcarbonyloxy group. Specific examples of the alkyl group, aryl group and aralkyl group in such alkylcarboxylic acid, arylcarboxylic acid and aralkylcarboxylic acid include the same as those described above.
  • acyloxy group examples include an acyloxy group having 1 to 20 carbon atoms.
  • a represents an integer of 1
  • b represents an integer of 0 to 2
  • a + b represents an integer of 1 to 3.
  • b preferably represents 0 or 1, and is more preferably 0.
  • silane having a cyano group represented by the formula (1) in the molecule examples include silanes represented by the following formulas (1-1-1) to (1-8-1). , Not limited to these.
  • T independently represents a hydroxy group or an alkoxy group having 1 to 3 carbon atoms, and T is preferably an ethoxy group, a methoxy group, or a hydroxy group.
  • the hydrolyzable silane compound having a hydrolyzable silane having a cyano group represented by the formula (1) in the molecule and the following formula At least one (other hydrolyzable silane) selected from the hydrolyzable silane represented by 2) and the hydrolyzable silane represented by the following formula (3) can be used.
  • the hydrolyzable silane represented by the formula (2) is preferable.
  • R 4 is a group bonded to the silicon atom by Si-C bond, independently of one another, an optionally substituted alkyl group, an aryl group which may be substituted, it is substituted Aralkyl groups which may be substituted, alkyl halide groups which may be substituted, aryl halide groups which may be substituted, aralkyl groups which may be substituted, alkoxyalkyl groups which may be substituted, Represents an optionally substituted alkoxyaryl group, an optionally substituted alkoxyaralkyl group, or an optionally substituted alkenyl group, or an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group, an amino group, an amide.
  • R 5 is a group or atom bonded to a silicon atom, and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom.
  • c represents an integer of 0 to 3.
  • each group in R 4 and suitable number of carbon atoms thereof may be mentioned groups and number of carbon atoms mentioned above for R 2.
  • Specific examples of each group in R 5 and a suitable number of carbon atoms thereof include the above-mentioned groups and atoms and the number of carbon atoms in R 3 .
  • c preferably represents 0 or 1, and more preferably 0.
  • R 6 is a group bonded to a silicon atom by a SiC bond, and is an alkyl group which may be substituted independently of each other, an aryl group which may be substituted, and a substituent.
  • R 7 is a group or atom bonded to a silicon atom, and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom.
  • Y is a group bonded to a silicon atom by a Si—C bond and represents an alkylene group or an arylene group independently of each other. Then, d represents an integer of 0 or 1, and e represents an integer of 0 or 1.
  • each group in R 6 and suitable carbon atom numbers thereof include the group and carbon atom number described above for R 2 .
  • Specific examples of each group in R 7 and a suitable number of carbon atoms thereof include the above-mentioned groups and atoms and the number of carbon atoms in R 3 .
  • Specific examples of the alkylene group in Y include linear chains such as methylene group, ethylene group, trimethylene group, tetramethylene group, pentamethylene group, hexamethylene group, heptamethylene group, octamethylene group, nonamethylene group and decamethylene group.
  • Alkane group 1-methyltrimethylene group, 2-methyltrimethylene group, 1,1-dimethylethylene group, 1-methyltetramethylene group, 2-methyltetramethylene group, 1,1-dimethyltrimethylene group, 1 , 2-Dimethyltrimethylene group, 2,2-dimethyltrimethylene group, 1-ethyltrimethylene group and other branched chain alkylene groups and other alkylene groups, methanetriyl group, ethane-1,1,2-triyl group, ethane -1,2,2-triyl group, ethane-2,2,2-triyl group, propane-1,1,1-triyl group, propane-1,1,2-triyl group, propane-1,2,3 -Triyl group, propane-1,2,2-triyl group, propane-1,1,3-triyl group, butane-1,1,1-triyl group, butane-1,1,2-triyl group, butane- 1,1,3-triyl group, butane-1,2,3-triy
  • arylene group examples include 1,2-phenylene group, 1,3-phenylene group, 1,4-phenylene group; 1,5-naphthalenedyl group, 1,8-naphthalenedyl group, 2,6- Naphthalenediyl Group, 2,7-Naphthalenediyl Group, 1,2-Anthracendiyl Group, 1,3-Anthracendiyl Group, 1,4-Anthracendiyl Group, 1,5-Anthracendiyl Group, 1,6-Anthracendil Group, 1,7-anthracendiyl group, 1,8-anthracendiyl group, 2,3-anthracendiyl group, 2,6-anthracendiyl group, 2,7-anthracendiyl group, 2,9-anthracendiyl group, A group derived by removing two hydrogen atoms on the aromatic ring of a fused ring aromatic hydrocarbon compound such as a 2,10-anthracendiyl group and a
  • hydrolyzable silane represented by the formula (2) examples include tetramethoxysilane, tetrachlorosilane, tetraacetoxysilane, tetraethoxysilane, tetra-n-propoxysilane, tetra-i-propoxysilane, and tetra-n.
  • hydrolyzable silane represented by the formula (3) examples include methylenebistrimethoxysilane, methylenebistrichlorosilane, methylenebistriacetoxysilane, ethylenebistriethoxysilane, ethylenebistrichlorosilane, ethylenebistriacetoxysilane, and propylenebistriethoxysilane.
  • the hydrolyzable silane compound may include hydrolyzable organosilane having an onium group in the molecule.
  • hydrolyzable organosilane having an onium group in the molecule By using a hydrolyzable organosilane having an onium group in the molecule, the cross-linking reaction of the hydrolyzable silane can be effectively and efficiently promoted.
  • a suitable example of a hydrolyzable organosilane having such an onium group in the molecule is represented by the following formula (4).
  • R 31 is a group bonded to a silicon atom and represents an onium group or an organic group containing the onium group.
  • R 32 is a group attached to a silicon atom, which is independent of each other and may be substituted alkyl group, optionally substituted aryl group, optionally substituted alkoxy group, substituted.
  • R 33 is a group or atom bonded to a silicon atom, and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom.
  • f represents 1 or 2
  • g represents 0 or 1
  • 1 ⁇ f + g ⁇ 2 is satisfied.
  • substituents of the chemical aralkyl group, the alkoxyalkyl group, the alkoxyaryl group, the alkoxyaralkyl group and the alkenyl group, and the suitable number of carbon atoms thereof are as described above for R 32 and R 2.
  • the 33 include those described above for R 3, respectively.
  • the onium group examples include a cyclic ammonium group or a chain ammonium group, and a tertiary ammonium group or a quaternary ammonium group is preferable. That is, a preferable specific example of the onium group or the organic group containing the onium group includes a cyclic ammonium group, a chain ammonium group or an organic group containing at least one of these, and a tertiary ammonium group or a quaternary ammonium group. Alternatively, an organic group containing at least one of these is preferable. When the onium group is a cyclic ammonium group, the nitrogen atom constituting the ammonium group also serves as an atom constituting the ring.
  • R 31 is a group bonded to the silicon atom is a hetero-aromatic cyclic ammonium group represented by the following formula (S1).
  • a 1 , A 2 , A 3 and A 4 represent groups represented by any of the following formulas (J1) to (J3) independently of each other, and A 1 to A 4 At least one of them is a group represented by the following formula (J2).
  • each of A 1 to A 4 and adjacent to each of them so that the formed ring exhibits aromaticity. It is determined whether the bond between the atoms forming the ring together is a single bond or a double bond.
  • R 30 are independent of each other, single bond, hydrogen atom, alkyl group, aryl group, aralkyl group, alkyl halide group, aryl halide group, aralkyl group halide or alkenyl.
  • alkyl group, an aryl group, an aralkyl group, an alkyl halide group, an aryl halide group, an aralkyl halide group and an alkenyl group and their preferred number of carbon atoms include the same as above. Be done.
  • R 34 independently represents an alkyl group, an aryl group, an aralkyl group, an alkyl halide group, an aryl halide group, an aralkyl halide group, an alkenyl group or a hydroxy group, and R 34 is
  • the two R 34s may be bonded to each other to form a ring, and the ring formed by the two R 34s may have a crosslinked ring structure. In such a case, the ring may be formed.
  • the cyclic ammonium group will have an adamantan ring, a norbornene ring, a spiro ring and the like.
  • alkyl group an aryl group, an aralkyl group, an alkyl halide group, an aryl halide group, a halogenated aralkyl group and an alkenyl group, and suitable carbon atoms thereof include the same as described above. ..
  • n 1 is an integer of 1 to 8
  • m 1 is 0 or 1
  • m 2 is a positive number from 0 or 1 to the maximum number that can be replaced with a monocyclic or polycyclic ring. Is an integer of.
  • a (4 + n 1 ) member ring containing A 1 to A 4 is formed. That is, a 5-membered ring when n 1 is 1, a 6-membered ring when n 1 is 2, a 7-membered ring when n 1 is 3, and an 8-membered ring when n 1 is 4.
  • m 1 1, a condensed ring is formed by condensing a (4 + n 1 ) member ring containing A 1 to A 3 and a 6-member ring containing A 4 .
  • a 1 to A 4 may have a hydrogen atom on the atom constituting the ring or may not have a hydrogen atom, depending on which of the formulas (J1) to (J3), but A 1 When A 4 has a hydrogen atom on an atom forming a ring, the hydrogen atom may be replaced with R 34 . Further, R 34 may be substituted with a ring-constituting atom other than the ring-constituting atom in A 1 to A 4 . Under these circumstances, as described above, m 2 is selected from 0 or 1 to the maximum number that can be replaced with a monocyclic or polycyclic integer.
  • the bond of the heteroaromatic cyclic ammonium group represented by the above formula (S1) exists at any carbon atom or nitrogen atom existing in such a monocyclic or condensed ring, and directly bonds with a silicon atom.
  • a linking group is bonded to form an organic group containing cyclic ammonium, which is bonded to a silicon atom.
  • Examples of such a linking group include, but are not limited to, an alkylene group, an arylene group, an alkenylene group and the like. Specific examples of the alkylene group and the arylene group and the suitable number of carbon atoms thereof include the same as those described above.
  • the alkenylene group is a divalent group derived by further removing one hydrogen atom of the alkenyl group, and specific examples of such an alkenyl group include the same as those described above.
  • the number of carbon atoms of the alkenylene group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and even more preferably 20 or less. Specific examples thereof include, but are not limited to, vinylene, 1-methylvinylene, propenylene, 1-butenylene, 2-butenylene, 1-pentenylene, 2-pentenylene group and the like.
  • hydrolyzable organosilane represented by the formula (4) having a heteroaromatic cyclic ammonium group represented by the above formula (S1) include the following formulas (I-1) to (I-80). ), But is not limited to these.
  • R 31 is a group bonded to the silicon atom of the above formula (4) in can be a heteroaliphatic cyclic ammonium group represented by the following formula (S2).
  • a 5 , A 6 , A 7 and A 8 represent groups represented by any of the following formulas (J4) to (J6) independently of each other, and A 5 to A 8 At least one of them is a group represented by the following formula (J5).
  • R 30 are independently of each other, a single bond, a hydrogen atom, an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, halogenated aryl group, a halogenated aralkyl group or an alkenyl
  • the specific examples of the alkyl group, the aryl group, the aralkyl group, the alkyl halide group, the aryl halide group, the aralkyl halide group and the alkenyl group and their suitable carbon atoms are the same as those described above. Can be mentioned.
  • R 35 independently of one another, an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, halogenated aryl group, a halogenated aralkyl group, an alkenyl group or a hydroxy group
  • R 35 is When two or more are present, the two R 35s may be bonded to each other to form a ring, and the ring formed by the two R 35s may have a crosslinked ring structure. In such a case, the ring may be formed.
  • the cyclic ammonium group will have an adamantan ring, a norbornene ring, a spiro ring and the like.
  • alkyl group aryl group, aralkyl group, alkyl halide group, aryl halide group, halogenated aralkyl group and alkenyl group and suitable carbon atoms thereof include the same as those described above.
  • n 2 is an integer from 1 to 8
  • m 3 is 0 or 1
  • m 4 is a positive number from 0 or 1 to the maximum number that can be replaced with a monocyclic or polycyclic ring. Is an integer of.
  • m 3 is 0, a (4 + n 2 ) member ring containing A 5 to A 8 is formed. That is, a 5-membered ring when n 2 is 1, a 6-membered ring when n 2 is 2, a 7-membered ring when n 2 is 3, and an 8-membered ring when n 2 is 4.
  • m 3 1, a condensed ring is formed in which a (4 + n 2 ) member ring containing A 5 to A 7 and a 6-member ring containing A 8 are condensed.
  • a 5 to A 8 may have a hydrogen atom on the atom constituting the ring or may not have a hydrogen atom, depending on which of the formulas (J4) to (J6), but A 5 When A 8 has a hydrogen atom on the atom constituting the ring, the hydrogen atom may be replaced with R 35 . Further, the ring-constituting atom other than the ring member atoms in A 5 to A 8, R 35 may be substituted. Under these circumstances, as described above, m 4 is selected from 0 or 1 to the maximum number that can be replaced with a monocyclic or polycyclic integer.
  • the bond of the heteroaliphatic cyclic ammonium group represented by the above formula (S2) exists at any carbon atom or nitrogen atom existing in such a monocyclic or condensed ring, and directly bonds with a silicon atom.
  • the linking group is bonded to form an organic group containing cyclic ammonium, which is bonded to the silicon atom.
  • Examples of such a linking group include an alkylene group, an arylene group or an alkenylene group, and specific examples of the alkylene group, the arylene group and the alkenylene group and suitable carbon atoms thereof include the same as described above.
  • hydrolyzable organosilane represented by the formula (4) having a heteroaliphatic cyclic ammonium group represented by the above formula (S2) include the following formulas (II-1) to (II-31). ), But is not limited to these.
  • R 31 is a group bonded to the silicon atom of the above formula (4) in may be a chain ammonium group represented by the following formula (S3).
  • R 30 represents a hydrogen atom, an alkyl group, an aryl group, an aralkyl group, an alkyl halide group, an aryl halide group, an aralkyl halide group or an alkenyl group independently of each other, and the alkyl group
  • Specific examples of the aryl group, the aralkyl group, the alkyl halide group, the aryl halide group, the halide aralkyl group and the alkenyl group, and suitable carbon atoms thereof include the same as those described above.
  • the chain ammonium group represented by the formula (S3) is directly bonded to the silicon atom, or the linking group is bonded to form an organic group containing the chain ammonium group, which is bonded to the silicon atom.
  • Examples of such a linking group include an alkylene group, an arylene group or an alkenylene group, and specific examples of the alkylene group, the arylene group and the alkenylene group include the same as described above.
  • hydrolyzable organosilane represented by the formula (4) having a chain ammonium group represented by the above formula (S3) are the following formulas (III-1) to (III-28). Examples include, but are not limited to, the represented silanes.
  • the film-forming composition of the present invention may further contain a silane having a sulfone group and a silane having a sulfonamide group as a hydrolyzable silane compound.
  • a silane having a sulfone group and a silane having a sulfonamide group as a hydrolyzable silane compound.
  • specific examples thereof include, but are not limited to, silanes represented by the following formulas (B-1) to (B-36).
  • Me represents a methyl group
  • Et represents an ethyl group.
  • hydrolyzable silane compound may contain other hydrolyzable silanes other than the above examples as long as the effects of the present invention are not impaired.
  • the film-forming composition of the present invention comprises at least a hydrolyzed condensate of the hydrolyzable silane compound.
  • the hydrolyzable condensate contained in the film-forming composition of the present invention is a hydrolyzable silane having a cyano group represented by the formula (1) in the molecule, represented by the formula (2).
  • the above hydrolyzed condensate contains hydrolyzable silane having a cyano group represented by the formula (1) in the molecule in an amount of 0.1 mol% to 10 mol% based on the total amount of the hydrolyzable silane compound. It can be a hydrolyzed condensate of a hydrolyzable silane compound contained in.
  • the charge amount of the sex silane can be, for example, 0.1 mol% to 50 mol% with respect to the charge amount of all the hydrolyzable silane compounds, but in some embodiments, for example, 45 mol% or less, 40. It can be mol% or less, 35 mol% or less, or 30 mol% or less, and from the viewpoint of obtaining the above-mentioned effect of the present invention with good reproducibility, it is preferably 0.5 mol% or more, more preferably 1 mol% or more.
  • the amount of these hydrolyzable silanes charged is the total amount of hydrolysis.
  • the amount of the sex silane compound charged is usually 0.1 mol% or more, preferably 1 mol% or more, more preferably 5 mol% or more, usually 99.9 mol% or less, preferably 99 mol% or less, More preferably, it is 95 mol% or less.
  • the amount of the organosilane charged is the amount of all the hydrolyzable silane compounds charged. On the other hand, it is usually 0.01 mol% or more, preferably 0.1 mol% or more, and usually 30 mol% or less, preferably 10 mol% or less.
  • the hydrolyzed condensate (also referred to as polysiloxane) of the above-mentioned hydrolyzable silane compound can have a weight average molecular weight of, for example, 500 to 1,000,000.
  • the weight average molecular weight is preferably 500,000 or less, more preferably 250,000 or less, still more preferably 100,000 or less. It can be preferably 700 or more, more preferably 1,000 or more, from the viewpoint of achieving both storage stability and coatability.
  • the weight average molecular weight is a molecular weight obtained in terms of polystyrene by GPC analysis.
  • a GPC apparatus (trade name: HLC-8220GPC, manufactured by Toso Co., Ltd.) and a GPC column (trade names: tetrahydrofuran KF803L, KF802, KF801, manufactured by Showa Denko KK) are used, the column temperature is set to 40 ° C., and elution is performed. Tetrahydrofuran is used as the liquid (eluting solvent), the flow rate (flow velocity) is 1.0 ml / min, and polystyrene (manufactured by Showa Denko KK) is used as the standard sample.
  • the hydrolyzate or hydrolyzed condensate of the above-mentioned hydrolyzable silane compound can be obtained by hydrolyzing the above-mentioned hydrolyzable silane compound.
  • the hydrolyzable silane compound used in the present invention contains an alkoxy group, an aralkyloxy group, an acyloxy group, and a halogen atom that are directly bonded to a silicon atom, that is, an alkoxysilyl group, an aralkyloxysilyl group, and an acyloxysilyl that are hydrolyzable groups. Includes groups, silyl halide groups.
  • hydrolysis catalyst for the hydrolysis of these hydrolyzable groups, usually 0.5 to 100 mol, preferably 1 mol to 10 mol, of water is used per 1 mol of the hydrolyzable group.
  • a hydrolysis catalyst may be used for the purpose of promoting hydrolysis or the like, or hydrolysis may be carried out without using it.
  • a hydrolysis catalyst of usually 0.0001 mol to 10 mol, preferably 0.001 mol to 1 mol, can be used per 1 mol of the hydrolyzable group.
  • the reaction temperature for hydrolysis and condensation is usually in the range of room temperature or higher and the reflux temperature of an organic solvent that can be used for hydrolysis at normal pressure, for example, 20 ° C to 110 ° C, or 20 ° C to 80. Can be ° C.
  • the hydrolysis may be completely hydrolyzed, i.e. all hydrolyzable groups may be converted to silanol groups, or partially hydrolyzed, i.e. leaving unreacted hydrolyzable groups. That is, after the hydrolysis and condensation reaction, an uncondensed hydrolyzate (complete hydrolyzate, partial hydrolyzate) or a monomer (hydrolyzable silane compound) may remain in the hydrolyzate condensate. ..
  • Examples of the hydrolysis catalyst that can be used for hydrolysis and condensation include metal chelate compounds, organic acids, inorganic acids, organic bases, and inorganic bases.
  • Metal chelate compounds as hydrolysis catalysts include, for example, triethoxy mono (acetylacetonet) titanium, tri-n-propoxymono (acetylacetonate) titanium, tri-i-propoxymono (acetylacetonate) titanium, and tri.
  • Organic acids as hydrolysis catalysts include, for example, acetic acid, propionic acid, butanoic acid, pentanoic acid, hexanoic acid, heptanic acid, octanoic acid, nonanoic acid, decanoic acid, oxalic acid, maleic acid, methylmalonic acid, adipic acid, sebacin.
  • Examples of the inorganic acid as a hydrolysis catalyst include, but are not limited to, hydrochloric acid, nitric acid, sulfuric acid, hydrofluoric acid, and phosphoric acid.
  • Organic bases as hydrolysis catalysts include, for example, pyridine, pyrrol, piperazine, pyrrolidine, piperidine, picolin, trimethylamine, triethylamine, monoethanolamine, diethanolamine, dimethylmonoethanolamine, monomethyldiethanolamine, triethanolamine, diazabicyclooctane, diah.
  • the inorganic base as the hydrolysis catalyst include, but are not limited to, ammonia, sodium hydroxide, potassium hydroxide, barium hydroxide, calcium hydroxide and the like.
  • metal chelate compounds organic acids, and inorganic acids are preferable, and these may be used alone or in combination of two or more.
  • nitric acid can be preferably used as the hydrolysis catalyst.
  • an organic solvent When hydrolyzing, an organic solvent may be used as a solvent, and specific examples thereof include n-pentanol, i-pentan, n-hexane, i-hexane, n-heptane, i-heptane, 2,2.
  • solvents can be used alone or in combination of two or more.
  • Ketone-based solvents such as di-i-butyl ketone, trimethylnonanone, cyclohexanone, methylcyclohexanone, 2,4-pentandione, acetonylacetone, diacetone alcohol, acetophenone, and fenchon are preferable in terms of storage stability of the solution.
  • the reaction solution is used as it is, diluted or concentrated, and neutralized, or treated with an ion exchange resin to hydrolyze the acid or base used for hydrolysis. Can be removed.
  • alcohol or water as a by-product, the hydrolysis catalyst used, or the like can be removed from the reaction solution by vacuum distillation or the like.
  • the hydrolyzed condensate (polysiloxane) thus obtained is obtained in the form of a polysiloxane varnish dissolved in an organic solvent, and this can be used as it is as a film-forming composition described later.
  • the obtained polysiloxane varnish may be solvent-substituted, or may be appropriately diluted with a solvent.
  • the obtained polysiloxane varnish may have a solid content concentration of 100% by distilling off an organic solvent as long as its storage stability is not poor.
  • the organic solvent used for solvent substitution or dilution of the polysiloxane varnish may be the same as or different from the organic solvent used for the hydrolysis reaction of the hydrolyzable silane compound.
  • the dilution solvent is not particularly limited, and either one type or two or more types can be arbitrarily selected and used.
  • the film-forming composition of the present invention contains the above-mentioned hydrolyzable silane compound, a hydrolyzate thereof, a hydrolyzate condensate thereof (polysiloxane), and a solvent.
  • the solid content concentration in the film-forming composition is, for example, 0.1% by mass to 50% by mass, 0.1% by mass to 30% by mass, 0.1% by mass to 25, based on the total mass of the composition. It can be mass%, 0.5 mass% to 20.0 mass%.
  • the solid content refers to a component obtained by removing the solvent component from all the components of the composition.
  • the total ratio of the hydrolyzable silane compound, its hydrolyzate, and its hydrolyzed condensate to the solid content is 20% by mass or more, and from the viewpoint of obtaining the above-mentioned effect of the present invention with good reproducibility, for example, 50% by mass. It can be% to 100% by mass, 60% by mass to 100% by mass, 70% by mass to 100% by mass, 80% by mass to 100% by mass, and 80% by mass to 99% by mass.
  • the total concentration of the hydrolyzable silane compound, its hydrolyzate, and its hydrolyzed condensate in the composition can be, for example, 0.5% by mass to 20.0% by mass.
  • the film-forming composition is a mixture of the hydrolyzable silane compound, a hydrolyzate thereof and / or a hydrolyzed condensate thereof, a solvent, and the other components, if desired,.
  • a solution containing a hydrolyzed condensate or the like may be prepared in advance, and this solution may be mixed with a solvent or other components.
  • the mixing order is not particularly limited.
  • a solvent may be added to a solution containing a hydrolyzed condensate or the like and mixed, and other components may be added to the mixture.
  • the solution containing the hydrolyzed condensate or the like, the solvent and other components may be mixed at the same time. You may.
  • an additional solvent may be added at the end, or some components that are relatively soluble in the solvent may be left out of the mixture and added at the end, but the constituents may aggregate. From the viewpoint of suppressing or separation and preparing a composition having excellent uniformity with good reproducibility, it is preferable to prepare a solution in which a hydrolyzed condensate or the like is well dissolved and prepare the composition using the solution. It should be noted that the hydrolyzed condensate and the like may aggregate or precipitate when they are mixed, depending on the type and amount of the solvent to be mixed together, the amount and properties of other components, and the like.
  • the hydrolyzed condensate or the like is prepared so that the amount of the hydrolyzed condensate or the like in the finally obtained composition is a desired amount. Also note that it is necessary to determine the concentration of the solution and the amount used. In the preparation of the composition, heating may be appropriately performed as long as the components are not decomposed or deteriorated.
  • filtration may be performed using a filter on the order of submicrometers or the like during the process of producing the film-forming composition or after mixing all the components.
  • the film-forming composition of the present invention can be suitably used as a composition for forming a resist underlayer film used in a lithography process, particularly an EUV lithography process.
  • the solvent used in the film-forming composition of the present invention can be used without particular limitation as long as it is a solvent capable of dissolving the solid content.
  • a solvent is not limited as long as it dissolves the above-mentioned hydrolyzable silane compound, its hydrolyzate, its hydrolyzed condensate, and other components.
  • methyl cellosolve acetate ethyl cellosolve acetate
  • propylene glycol propylene glycol monomethyl ether
  • propylene glycol monoethyl ether methyl isobutyl carbinol
  • propylene glycol monobutyl ether propylene glycol monomethyl ether acetate
  • propylene glycol monoethyl ether acetate propylene glycol monoethyl ether acetate
  • the film-forming composition of the present invention may contain water as a solvent.
  • water When water is contained as the solvent, the content thereof may be, for example, 30% by mass or less, preferably 20% by mass or less, and even more preferably 15% by mass or less, based on the total mass of the solvent contained in the composition. it can.
  • additives can be added to the film-forming composition of the present invention depending on the use of the composition.
  • the additive include a cross-linking agent, a cross-linking catalyst, a stabilizer (organic acid, water, alcohol, etc.), an organic polymer compound, an acid generator, and a surfactant (nonionic surfactant, anionic surfactant). , Cationic surfactants, silicon-based surfactants, fluorine-based surfactants, UV-curable surfactants, etc.), pH adjusters, rheology adjusters, adhesion aids, etc., resist underlayer films, antireflection films, etc.
  • the film-forming composition of the present invention is formed by incorporating a catalytic function into a hydrolyzable silane compound, a hydrolyzate thereof, and a hydrolyzed condensate thereof, and is excellent without adding a commonly used curing catalyst.
  • a curing catalyst (ammonium salt, phosphine, phosphonium salt, sulfonium salt, nitrogen-containing silane compound, etc.) may be added as long as the effect of the present invention is not impaired.
  • Various additives are exemplified below, but the present invention is not limited to these.
  • the above-mentioned cross-linking catalyst can be added as a catalyst for promoting the cross-linking reaction, and specific examples thereof include benzyltriethylammonium chloride and the like. Only one type of the cross-linking catalyst can be used, or two or more types can be used in combination. When the above-mentioned cross-linking catalyst is added, the amount added is usually 0.1% by mass to 5.0% by mass with respect to the total mass of the hydrolyzable silane compound, its hydrolyzate and its hydrolyzed condensate. ..
  • the stabilizer may be added for the purpose of stabilizing the hydrolyzed condensate of the hydrolyzable silane compound, and as a specific example thereof, an organic acid, water, alcohol, or a combination thereof may be added.
  • an organic acid include oxalic acid, malonic acid, methylmalonic acid, succinic acid, maleic acid, malic acid, tartaric acid, phthalic acid, citric acid, glutaric acid, lactic acid, salicylic acid and the like. Of these, oxalic acid and maleic acid are preferable.
  • the amount added may be 0.1% by mass to 5.0% by mass with respect to the total mass of the hydrolyzable silane compound, its hydrolyzate and its hydrolyzed condensate. ..
  • These organic acids can also act as pH regulators.
  • As the water pure water, ultrapure water, ion-exchanged water, or the like can be used, and when used, the amount added is 1 part by mass to 20 parts by mass with respect to 100 parts by mass of the film-forming composition. can do.
  • the alcohol is preferably one that easily scatters when heated after application, and examples thereof include methanol, ethanol, propanol, i-propanol, butanol and the like. When alcohol is added, the amount added may be 1 part by mass to 20 parts by mass with respect to 100 parts by mass of the film-forming composition.
  • Organic polymer When the organic polymer compound is added to the composition, the dry etching rate (the amount of decrease in the film thickness per unit time) of the film (resist underlayer film) formed from the composition, the attenuation coefficient, and the refractive index are added. The rate etc. can be adjusted.
  • the organic polymer compound is not particularly limited, and is appropriately selected from various organic polymers (condensation polymer and addition polymer) according to the purpose of addition thereof.
  • an organic polymer containing an aromatic ring such as a benzene ring, a naphthalene ring, an anthracene ring, a triazine ring, a quinoline ring, a quinoxaline ring, or a heteroaromatic ring that functions as an absorption site also needs such a function. Can be suitably used.
  • organic polymer compounds include addition polymerizable properties such as benzyl acrylate, benzyl methacrylate, phenyl acrylate, naphthyl acrylate, anthryl methacrylate, anthryl methyl methacrylate, styrene, hydroxystyrene, benzyl vinyl ether and N-phenylmaleimide.
  • addition polymer containing a monomer as a structural unit thereof and a condensed polymer such as phenol novolac and naphthol novolac.
  • the polymer compound may be either a homopolymer or a copolymer.
  • Addition-polymerizable monomers are used in the production of addition-polymerizable polymers, and specific examples of such addition-polymerizable monomers include acrylic acid, methacrylic acid, acrylic acid ester compounds, methacrylic acid ester compounds, acrylamide compounds, and methacrylic acids. Examples thereof include, but are not limited to, amide compounds, vinyl compounds, styrene compounds, maleimide compounds, maleic anhydrides, and acrylonitrile.
  • acrylic acid ester compound examples include methyl acrylate, ethyl acrylate, normal hexyl acrylate, i-propyl acrylate, cyclohexyl acrylate, benzyl acrylate, phenyl acrylate, anthryl methyl acrylate, 2-hydroxyethyl acrylate, and 3-chloro-2.
  • methacrylic acid ester compound examples include methyl methacrylate, ethyl methacrylate, normal hexyl methacrylate, i-propyl methacrylate, cyclohexyl methacrylate, benzyl methacrylate, phenyl methacrylate, anthrylmethyl methacrylate, 2-hydroxyethyl methacrylate and 2-hydroxypropyl methacrylate.
  • 2,2,2-Trifluoroethyl methacrylate 2,2,2-trichloroethyl methacrylate, 2-bromoethyl methacrylate, 4-hydroxybutyl methacrylate, 2-methoxyethyl methacrylate, tetrahydrofurfuryl methacrylate, 2-methyl-2 -Adamanthyl methacrylate, 5-methacryloyloxy-6-hydroxynorbornene-2-carboxylic-6-lactone, 3-methacryloxypropyltriethoxysilane, glycidyl methacrylate, 2-phenylethyl methacrylate, hydroxyphenyl methacrylate, bromophenyl methacrylate, etc. However, it is not limited to these.
  • acrylamide compound examples include acrylamide, N-methylacrylamide, N-ethylacrylamide, N-benzylacrylamide, N-phenylacrylamide, N, N-dimethylacrylamide, N-anthrylacrylamide and the like. Not limited.
  • methacrylamide compounds include methacrylamide, N-methylmethacrylamide, N-ethylmethacrylamide, N-benzylmethacrylamide, N-phenylmethacrylamide, N, N-dimethylmethacrylamide, N-anthrylacrylamide and the like. However, it is not limited to these.
  • vinyl compounds include vinyl alcohol, 2-hydroxyethyl vinyl ether, methyl vinyl ether, ethyl vinyl ether, benzyl vinyl ether, vinyl acetic acid, vinyl trimethoxysilane, 2-chloroethyl vinyl ether, 2-methoxyethyl vinyl ether, vinyl naphthalene and vinyl.
  • Anthracene and the like can be mentioned, but the present invention is not limited to these.
  • styrene compound examples include, but are not limited to, styrene, hydroxystyrene, chlorostyrene, bromostyrene, methoxystyrene, cyanostyrene, acetylstyrene and the like.
  • maleimide compound examples include, but are not limited to, maleimide, N-methylmaleimide, N-phenylmaleimide, N-cyclohexylmaleimide, N-benzylmaleimide, N-hydroxyethylmaleimide and the like.
  • such a polymer includes, for example, a polycondensation polymer of a glycol compound and a dicarboxylic acid compound.
  • the glycol compound include diethylene glycol, hexamethylene glycol, butylene glycol and the like.
  • the dicarboxylic acid compound include succinic acid, adipic acid, terephthalic acid, maleic anhydride and the like.
  • polyesters such as polypyrromeritimide, poly (p-phenylene terephthalamide), polybutylene terephthalate, polyethylene terephthalate, polyamide, polyimide and the like can be mentioned, but the present invention is not limited thereto.
  • the organic polymer compound contains a hydroxy group, the hydroxy group can undergo a cross-linking reaction with a hydrolyzed condensate or the like.
  • the weight average molecular weight of the organic polymer compound is usually 1,000 to 1,000,000.
  • the weight average molecular weight thereof is, for example, 3,000 to 300,000, or 5,000, from the viewpoint of suppressing precipitation in the composition while sufficiently obtaining the effect of the function as a polymer. It can be from 300,000, 10,000 to 200,000, and the like.
  • Such an organic polymer compound may be used alone or in combination of two or more.
  • the film-forming composition of the present invention contains an organic polymer compound
  • its content cannot be unconditionally determined because it is appropriately determined in consideration of the function of the organic polymer compound and the like, but usually, a hydrolyzable silane compound, the same, and the like.
  • It can be in the range of 1% by mass to 200% by mass with respect to the total mass of the hydrolyzate and its hydrolyzed condensate, and from the viewpoint of suppressing precipitation in the composition, for example, 100% by mass or less. It can be preferably 50% by mass or less, more preferably 30% by mass or less, and from the viewpoint of sufficiently obtaining the effect, for example, 5% by mass or more, preferably 10% by mass or more, more preferably 30% by mass or more. Can be.
  • thermoacid generator examples include a thermoacid generator and a photoacid generator, and a photoacid generator can be preferably used.
  • photoacid generator examples include, but are not limited to, onium salt compounds, sulfonimide compounds, disulfonyldiazomethane compounds and the like.
  • thermoacid generator examples include, but are not limited to, tetramethylammonium nitrate and the like.
  • the onium salt compound examples include diphenyliodonium hexafluorosulfonate, diphenyliodonium trifluoromethanesulfonate, diphenyliodonium nonafluoronormal butane sulfonate, diphenyliodonium perfluoronormal octane sulfonate, diphenyliodonium camphor sulfonate, and bis (4-t-butyl Iodonium salt compounds such as phenyl) iodonium camphor sulfonate, bis (4-t-butylphenyl) iodonium trifluoromethane sulfonate, triphenyl sulfonium hexafluoroantimonate, triphenyl sulfonium nonafluoronormal butane sulfonate, triphenyl sulfonium camphor sulfonate, triphenyl Sulf
  • sulfoneimide compound examples include N- (trifluoromethanesulfonyloxy) succinimide, N- (nonafluoronormal butanesulfonyloxy) succinimide, N- (kanfersulfonyloxy) succinimide, and N- (trifluoromethanesulfonyloxy) naphthalimide. Etc., but are not limited to these.
  • disulfonyldiazomethane compound examples include bis (trifluoromethylsulfonyl) diazomethane, bis (cyclohexylsulfonyl) diazomethane, bis (phenylsulfonyl) diazomethane, bis (p-toluenesulfonyl) diazomethane, and bis (2,4-dimethylbenzene).
  • Sulfonyl) Diazomethane, methylsulfonyl-p-toluenesulfonyldiazomethane and the like can be mentioned, but are not limited thereto.
  • the film-forming composition of the present invention contains an acid generator
  • its content cannot be unconditionally determined because it is appropriately determined in consideration of the type of the acid generator and the like, but usually, a hydrolyzable silane compound and its water addition. It is in the range of 0.01% by mass to 5% by mass with respect to the total mass of the decomposition product and its hydrolyzed condensate, and is preferably 3% by mass from the viewpoint of suppressing the precipitation of the acid generator in the composition. % Or less, more preferably 1% by mass or less, and preferably 0.1% by mass or more, more preferably 0.5% by mass or more, from the viewpoint of sufficiently obtaining the effect.
  • the acid generator may be used alone or in combination of two or more, and the photoacid generator and the thermoacid generator may be used in combination.
  • the surfactant is effective in suppressing the occurrence of pinholes, stirrers, etc. when applied to a substrate, particularly when the film-forming composition of the present invention is used as a resist underlayer film-forming composition for lithography. ..
  • the surfactant include nonionic surfactants, anionic surfactants, cationic surfactants, silicon-based surfactants, fluorine-based surfactants, UV-curable surfactants and the like.
  • polyoxyethylene alkyl ethers such as polyoxyethylene lauryl ether, polyoxyethylene stearyl ether, polyoxyethylene cetyl ether, and polyoxyethylene oleyl ether, polyoxyethylene octylphenol ether, and polyoxyethylene nonylphenol.
  • Polyoxyethylene alkylallyl ethers such as ether, polyoxyethylene / polyoxypropylene block copolymers, sorbitan monolaurate, sorbitan monopalmitate, sorbitan monostearate, sorbitan monooleate, sorbitan trioleate, sorbitan tristearate
  • sorbitan fatty acid esters polyoxyethylene sorbitan monolaurate, polyoxyethylene sorbitan monopalmitate, polyoxyethylene sorbitan monostearate, polyoxyethylene sorbitan trioleate, polyoxyethylene such as polyoxyethylene sorbitan tristearate.
  • Nonionic surfactants such as sorbitan fatty acid esters, trade names Ftop EF301, EF303, EF352 (manufactured by Mitsubishi Materials Denshi Kasei Co., Ltd. (formerly Tochem Products Co., Ltd.)), trade names Megafuck F171, F173, R -08, R-30, R-30N, R-40LM (manufactured by DIC Co., Ltd.), Florard FC430, FC431 (manufactured by Sumitomo 3M Co., Ltd.), trade names Asahi Guard AG710, Surflon S-382, SC101, SC102, Fluorophilic surfactants such as SC103, SC104, SC105 and SC106 (manufactured by AGC Co., Ltd.), organosiloxane polymer-KP341 (manufactured by Shin-Etsu Chemical Industry Co., Ltd.) and the like can be mentioned, but are not limited thereto.
  • the surfactant can be used alone or in
  • the content thereof is 0.0001% by mass to 5 by mass with respect to the total mass of the hydrolyzable silane compound, its hydrolyzate and its hydrolyzed condensate. It can be in the range of% by mass, or 0.01% by mass to 1% by mass, or 0.01% by mass to 1% by mass.
  • the above rheology adjuster mainly improves the fluidity of the film-forming composition, and particularly in the baking step, the purpose is to improve the film thickness uniformity of the film to be formed and to improve the filling property of the composition into the hole. Is added in. Specific examples include phthalates such as dimethylphthalate, diethylphthalate, di-i-butylphthalate, dihexylphthalate and butyl-i-decylphthalate, dinormal butyl adipate, di-i-butyl adipate and di-i-octyl.
  • Adipate derivatives such as adipate and octyldecyl adipate, maleic acid derivatives such as dinormal butylmalate, diethylmalate and dinonylmalate, oleic acid derivatives such as methyloleate, butyloleate and tetrahydrofurfuryloleate, or normal butylstearate, Examples thereof include stearic acid derivatives such as glyceryl stearate. When these rheology modifiers are used, the amount added is usually less than 30% by mass based on the total solid content of the film-forming composition.
  • the above-mentioned adhesive aid is added mainly for the purpose of improving the adhesion between the substrate or the resist and the film formed from the film-forming composition (resist underlayer film), and particularly to prevent the resist from peeling off during development. Will be done.
  • Specific examples include chlorosilanes such as trimethylchlorosilane, dimethylvinylchlorosilane, methyldiphenylchlorosilane, and chloromethyldimethylchlorosilane, trimethylmethoxysilane, dimethyldiethoxysilane, methyldimethoxysilane, dimethylvinylethoxysilane, diphenyldimethoxysilane, and phenyltriethoxy.
  • Alkylene silanes such as silane, hexamethyldisilazane, N, N'-bis (trimethylsilyl) urea, dimethyltrimethylsilylamine, silazanes such as trimethylsilylimidazole, vinyltrichlorosilane, ⁇ -chloropropyltrimethoxysilane, ⁇ -aminopropyl Silanes such as triethoxysilane and ⁇ -glycidoxypropyltrimethoxysilane, benzotriazole, benzimidazole, indazole, imidazole, 2-mercaptobenzimidazole, 2-mercaptobenzothiazole, 2-mercaptobenzoxazole, urazol, thiouracil, Examples thereof include heterocyclic compounds such as mercaptoimidazole and mercaptopyrimidine, urea such as 1,1-dimethylurea and 1,3-dimethylurea, and thiourea compounds. When these adh
  • a bisphenol S or a bisphenol S derivative can be added in addition to the acid having one or two or more carboxylic acid groups such as the organic acid mentioned above as the ⁇ stabilizer>.
  • the bisphenol S or bisphenol S derivative is 0.01 parts by mass to 20 parts by mass or 0.01 parts by mass with respect to 100 parts by mass of the total mass of the hydrolyzable silane compound, its hydrolyzate and its hydrolyzed condensate. It is 10 parts by mass, or 0.01 parts by mass to 5 parts by mass.
  • substrates used in the manufacture of semiconductor devices eg, silicon wafer substrates, silicon / silicon dioxide coated substrates, silicon nitride substrates, glass substrates, ITO substrates, polyimide substrates, and low dielectric constant materials (low-k materials)).
  • a resist underlayer film-forming composition (the film-forming composition of the present invention) is applied onto a coated substrate, etc. by an appropriate coating method such as a spinner or a coater, and then fired to obtain a resist underlayer film.
  • the firing conditions are appropriately selected from a firing temperature of 40 ° C. to 400 ° C., or 80 ° C. to 250 ° C., and a firing time of 0.3 minutes to 60 minutes.
  • the firing temperature is 150 ° C.
  • the film thickness of the resist underlayer film formed here is, for example, 10 nm to 1,000 nm, 20 nm to 500 nm, 50 nm to 300 nm, or 100 nm to 200 nm, or 10 nm to 100 nm.
  • the resist underlayer film may be formed on the organic underlayer film.
  • the organic underlayer film used here is not particularly limited, and can be arbitrarily selected and used from those conventionally used in the lithography process.
  • the resist underlayer film of the present invention it is possible to process the resist underlayer film of the present invention by using a fluorine-based gas having an etching rate sufficiently fast for the photoresist as the etching gas, and it is sufficiently fast for the resist underlayer film of the present invention.
  • An oxygen-based gas having an etching rate can be used as an etching gas to process an organic underlayer film, and a fluorine-based gas having a sufficiently fast etching rate with respect to the organic underlayer film can be used as an etching gas to form a substrate. It can be processed.
  • a photoresist layer (resist film) is formed on the resist underlayer film of the present invention.
  • the resist film can be formed by a well-known method, that is, by applying a resist composition (for example, a photoresist) on a resist underlayer film and firing it.
  • the film thickness of the resist film is, for example, 10 nm to 10,000 nm, or 100 nm to 2,000 nm, or 200 nm to 1,000 nm, or 30 nm to 200 nm.
  • the photoresist used for the resist film formed on the resist underlayer film is not particularly limited as long as it is sensitive to light used for exposure. Both negative photoresists and positive photoresists can be used.
  • a positive photoresist composed of novolak resin and 1,2-naphthoquinonediazide sulfonic acid ester a chemically amplified photoresist consisting of a binder having a group that decomposes with an acid to increase the alkali dissolution rate and a photoacid generator
  • It has a chemically amplified photoresist consisting of a low molecular weight compound that decomposes with an acid to increase the alkali dissolution rate of the photoresist, an alkali-soluble binder, and a photoacid generator, and a group that decomposes with an acid to increase the alkali dissolution rate.
  • photoresists composed of low molecular weight compounds and photoacid generators that decompose with a binder and an acid to increase the alkali dissolution rate of the photoresist.
  • Specific examples available as products include, but are not limited to, the product name APEX-E manufactured by Chypre, the product name PAR710 manufactured by Sumitomo Chemical Co., Ltd., and the product name SEPR430 manufactured by Shin-Etsu Chemical Co., Ltd. ..
  • Proc. SPIE Vol. 3999, 330-334 (2000)
  • Proc. SPIE Vol. 3999,357-364
  • Proc. SPIE Vol. Fluorine-containing atomic polymer-based photoresists as described in 3999,365-374 (2000) can be mentioned.
  • post-exposure heating is performed under appropriately selected conditions from a heating temperature of 70 ° C. to 150 ° C. and a heating time of 0.3 to 10 minutes.
  • a resist for electron beam lithography also referred to as an electron beam resist
  • a resist for EUV lithography also referred to as EUV resist
  • the electron beam resist either a negative type or a positive type can be used. Specific examples thereof include a chemically amplified resist composed of an acid generator and a binder having a group that decomposes with an acid to change the alkali dissolution rate, and an alkali-soluble binder, an acid generator and an acid decompose with an acid to change the alkali dissolution rate of the resist.
  • a chemically amplified resist consisting of a low molecular weight compound that changes the alkali dissolution rate of the resist, a binder having a group that decomposes with an acid generator and an acid to change the alkali dissolution rate, and a low molecular weight compound that decomposes with an acid to change the alkali dissolution rate of the resist.
  • a resist pattern can be formed in the same manner as when a photoresist is used with the irradiation source as an electron beam. Further, as the EUV resist, a methacrylate resin-based resist can be used.
  • the developing solution includes an aqueous solution of alkali metal hydroxide such as potassium hydroxide and sodium hydroxide, an aqueous solution of quaternary ammonium hydroxide such as tetramethylammonium hydroxide, tetraethylammonium hydroxide and choline, ethanolamine and propylamine.
  • alkaline aqueous solution such as an amine aqueous solution such as ethylenediamine can be mentioned as an example.
  • an organic solvent can be used as the developing solution.
  • the organic solvent that can be used as the developing solution include methyl acetate, butyl acetate, ethyl acetate, isopropyl acetate, amyl acetate, isoamyl acetate, ethyl methoxy acetate, ethyl ethoxyacetate, propylene glycol monomethyl ether acetate, and ethylene glycol monoethyl ether.
  • the developer may also contain a surfactant or the like, if necessary.
  • the development conditions are appropriately selected from a temperature of 5 ° C. to 50 ° C. and a time of 10 seconds to 600 seconds.
  • the resist lower layer film (intermediate layer) is removed using the pattern of the resist film (upper layer) thus formed as a protective film. Removal of the resist underlayer film is performed by dry etching, tetrafluoromethane (CF 4 ), perfluorocyclobutane (C 4 F 8 ), perfluoropropane (C 3 F 8 ), trifluoromethane, carbon monoxide, argon, oxygen. , Nitrogen, sulfur hexafluoride, difluoromethane, nitrogen trifluoride, chlorine trifluoride, chlorine, trichloroborane, dichloroborane and other gases can be used.
  • a halogen-based gas for dry etching of the resist underlayer film.
  • a resist film photoresist
  • the resist underlayer film of the present invention containing a large amount of silicon atoms is rapidly removed by the halogen-based gas. Therefore, it is possible to suppress a decrease in the thickness of the photoresist due to dry etching of the resist underlayer film. As a result, the photoresist can be used as a thin film.
  • the dry etching of the resist underlayer film is preferably performed by a fluorine-based gas
  • a fluorine-based gas examples include tetrafluoromethane (CF 4 ), perfluorocyclobutane (C 4 F 8 ), and perfluoro propane (C 3 F). 8 ), trifluoromethane, difluoromethane (CH 2 F 2 ) and the like, but are not limited thereto.
  • the organic lower layer film (lower layer) is removed using a film composed of a patterned resist film (upper layer) and a patterned resist lower layer film (intermediate layer) as a protective film.
  • the organic underlayer film is preferably performed by dry etching with an oxygen-based gas. This is because the resist underlayer film of the present invention containing a large amount of silicon atoms is difficult to be removed by dry etching with an oxygen-based gas.
  • the semiconductor substrate is processed using the patterned resist film (upper layer), the patterned resist lower layer film (intermediate layer), and the patterned organic lower layer film (lower layer) as protective films.
  • the processing of the semiconductor substrate is preferably performed by dry etching with a fluorine-based gas.
  • the fluorine-based gas include tetrafluoromethane (CF 4 ), perfluorocyclobutane (C 4 F 8 ), perfluoropropane (C 3 F 8 ), trifluoromethane, and difluoromethane (CH 2 F 2 ).
  • CF 4 tetrafluoromethane
  • C 4 F 8 perfluorocyclobutane
  • C 3 F 8 perfluoropropane
  • CH 2 F 2 difluoromethane
  • an organic antireflection film can be formed on the upper layer of the resist lower layer film before the resist film is formed.
  • the antireflection film composition used there is not particularly limited, and for example, it can be arbitrarily selected and used from those conventionally used in the lithography process, and a commonly used method, for example, is used.
  • the antireflection film can be formed by coating and firing with a spinner or coater.
  • the substrate to which the resist underlayer film forming composition composed of the film forming composition of the present invention is applied has an organic or inorganic antireflection film formed on the surface thereof by a CVD method or the like.
  • the resist underlayer film of the present invention may be formed on the resist underlayer film.
  • the resist underlayer film of the present invention may also have absorption into the light, depending on the wavelength of the light used in the lithography process. Then, in such a case, it can function as an antireflection film having an effect of preventing the reflected light from the substrate. Further, the resist underlayer film of the present invention is a layer for preventing interaction between the substrate and the resist film (photoresist, etc.), a material used for the resist film, or a substance generated during exposure to the resist film, which is harmful to the substrate.
  • a layer having a function of preventing the action a layer having a function of preventing diffusion of substances generated from the substrate during heating and firing into the upper resist film, a barrier layer for reducing the poisoning effect of the resist film by the semiconductor substrate dielectric layer, etc. It can also be used as.
  • the resist underlayer film can be applied to a substrate on which via holes are formed, which is used in the dual damascene process, and can be used as a hole filling material (embedding material) capable of filling holes without gaps. It can also be used as a flattening material for flattening the surface of a semiconductor substrate having irregularities. Further, the resist underlayer film can be used as an EUV resist underlayer film in addition to its function as a hard mask, for example, without intermixing with the EUV resist, which is not preferable for EUV exposure (wavelength 13.5 nm), such as UV (UV).
  • EUV resist It can be used as an underlayer antireflection film of EUV resist that can prevent reflection of ultraviolet) light or DUV (deep ultraviolet) light (: ArF light, KrF light) from the substrate or interface. That is, reflection can be efficiently prevented in the lower layer of the EUV resist.
  • DUV light deep ultraviolet light
  • the process can be carried out in the same manner as the photoresist underlayer film.
  • composition to be applied to resist pattern The polysiloxane (polymer), additives, and solvent obtained in the above synthesis example are mixed at the ratios shown in Table 1 and filtered through a 0.1 ⁇ m fluororesin filter. Each composition to be applied to the resist pattern was prepared by filtration. Each addition amount in Table 1 is shown by mass. The addition ratio of the polymer in Table 1 is not the addition amount of the polymer solution, but the addition amount of the polymer itself.
  • DIW means ultrapure water
  • PGEE means propylene glycol monoethyl ether
  • PGMEA propylene glycol monoethyl ether acetate
  • PGME means propylene glycol monoethyl ether.
  • MA maleic acid
  • TPSNO3 triphenylsulfonium nitrate
  • TPSTFA triphenylsulfonium trifluoroacetate
  • TPSML triphenylsulfonium maleate
  • TPSCl triphenylsulfonium chloride
  • BTEAC benzyltriethylammonium.
  • Chloride means chloride
  • TMANO3 means tetramethylammonium nitrate
  • TPSCS means triphenylsulfonium camphorsulfonate.
  • composition for forming an organic resist underlayer film Under nitrogen, in a 100 ml four-necked flask, carbazole (6.69 g, 0.040 mol, manufactured by Tokyo Chemical Industry Co., Ltd.), 9-fluorenone (7.28 g, 0). .040 mol, manufactured by Tokyo Chemical Industry Co., Ltd., paratoluenesulfonic acid monohydrate (0.76 g, 0.0040 mol, manufactured by Tokyo Chemical Industry Co., Ltd.) was added, and 1,4-dioxane (6.69 g, Kanto Chemical Co., Ltd. was charged and stirred, and the temperature was raised to 100 ° C. to dissolve and start polymerization.
  • composition is filtered using a polyethylene microfilter having a pore size of 0.10 ⁇ m, and further filtered using a polyethylene microfilter having a pore size of 0.05 ⁇ m to form an organic resist underlayer film used in a lithography process using a multilayer film.
  • a polyethylene microfilter having a pore size of 0.10 ⁇ m and further filtered using a polyethylene microfilter having a pore size of 0.05 ⁇ m to form an organic resist underlayer film used in a lithography process using a multilayer film.
  • the composition for forming an organic resist underlayer film was similarly applied onto a silicon wafer using a spinner and heated on a hot plate at 215 ° C. for 1 minute to form an organic resist underlayer film (film thickness 0). .20 ⁇ m).
  • an organic resist underlayer film film thickness 0). .20 ⁇ m.
  • using CF 4 / CHF 3 / N 2 gas and O 2 gas as etching gases and using a silicon wafer with an organic resist underlayer film as etching gas.
  • the dry etching rate was measured using O 2 gas.
  • the results obtained are shown in Table 3.
  • the dry etching rate using the O 2 gas was expressed as a ratio (resistance) to the dry etching rate of the organic resist underlayer film.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials For Photolithography (AREA)

Abstract

【課題】EUVレジストへの良好な密着性と、良好なエッチング加工性とを併せ持つレジスト下層膜を形成できるレジスト下層膜形成用組成物として好適な、膜形成用組成物を提供すること。 【解決手段】加水分解性シラン化合物、その加水分解物及びその加水分解縮合物から選ばれる少なくとも1種と、溶媒とを含む膜形成用組成物であって、上記加水分解性シラン化合物が、シアノ基を分子内に有する下記式(1)で表される加水分解性シランを含むことを特徴とする、膜形成用組成物。 (式(1)中、Rは、ケイ素原子に結合する基であって、シアノ基を含む有機基を表し、Rは、Si-C結合によりケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基等を表し、Rは、ケイ素原子に結合する基又は原子であって、互いに独立して、ヒドロキシ基、アルコキシ基、アラルキルオキシ基、アシルオキシ基又はハロゲン原子を表し、aは1の整数を表し、bは0乃至2の整数を表し、a+bは1乃至3の整数を表す。)

Description

膜形成用組成物
 本発明は、膜形成用組成物に関する。
 半導体装置の製造分野では、基板上に微細なパターンを形成し、このパターンに従ってエッチングを行い、基板を加工する技術が広く用いられている。
 リソグラフィー技術の進展に伴い微細パターン化が進み、KrFエキシマレーザー、ArFエキシマレーザーが用いられ、更に電子線やEUV(Extreme Ultra violet:極端紫外線)を用いた露光技術が検討されている。
 フォトレジストを用いたリソグラフィーによる微細加工では、シリコンウエハー等の半導体基板上にフォトレジストの薄膜を形成し、その上に半導体デバイスのパターンが描かれたマスクパターンを介して紫外線などの活性光線を照射し、現像し、得られたレジストパターンを保護膜として基板をエッチング処理することにより、基板表面に、上記パターンに対応する微細凹凸を形成する。近年、半導体デバイスの高集積度化が進み、上記のように活性光線が短波長化される傾向にあり、活性光線の半導体基板からの反射の影響が大きな問題となる中、フォトレジストと被加工基板の間に反射防止膜(Bottom Anti-Reflective Coating、BARC)と呼ばれるレジスト下層膜を設ける方法が広く適用されるようになってきた。
 またレジストパターンの微細化の進行に伴い、解像度や寸法精度、パターンの倒壊といった問題が生じる可能性があるため、レジストの薄膜化が望まれてくる。そのため、基板加工に充分なレジストパターン膜厚を得ることが難しく、レジストパターンだけではなく、レジストと加工する半導体基板との間に作成されるレジスト下層膜にも基板加工時のマスクとしての機能を持たせるプロセスが必要になっている。微細化のさらなる進行に伴い、レジスト膜(上層)の下に、シリコン系のレジスト下層膜(中間層)と、さらにその下に有機下層膜(下層)とを形成させる3層プロセスの適用もなされている。
 近年、最先端半導体デバイスにおいて、レジストの薄膜化・微細化は顕著である。とりわけ上述のレジスト膜、シリコン含有レジスト下層膜、有機下層膜からなる3層プロセスにおいては、シリコン含有レジスト下層膜上におけるレジストのリソグラフィー特性だけでなく、下層膜において高いエッチング速度を有することが必須となってきている。特にEUVリソグラフィーでは、リソグラフィー特性の向上のために、レジスト膜と密着性の高い官能基の大量導入や、解像性を向上させる光酸発生剤の大量添加が必要不可欠である一方、それに伴う有機成分の増大によるエッチング速度の低下が大きな問題となっており、これまでリソグラフィー特性の向上と高いエッチング速度の実現はトレードオフの関係にあるものであった。
 このような事情の下、オニウム基を有するシラン化合物を含むレジスト下層膜形成用組成物やアニオン基を有するシラン化合物を含むレジスト下層膜が報告されている(特許文献1、2)。
国際公開第2010/021290号 国際公開第2010/071155号
 本発明は、上記事情に鑑みてなされたものであって、EUVレジストへの良好な密着性と、良好なエッチング加工性とを併せ持つレジスト下層膜を形成できるレジスト下層膜形成用組成物として好適な、膜形成用組成物を提供することを目的とする。
 本発明者らは、上記目的を達成するために、硬化触媒を添加剤として含まずとも硬化できる系に着目し、触媒機能をポリマー骨格に付与したシリコン含有下層膜について種々検討した。その結果、シアノ基を分子内に有する加水分解性シラン、その加水分解物及びその加水分解縮合物から選ばれる少なくとも1種と、溶媒とを含む膜形成用組成物から、EUVレジストに対する良好な密着性を有し、EUVレジストの下層膜として用いた場合に優れたレジストパターンを形成できる薄膜が得られること、そして良好なドライエッチング加工性も併せ持つ薄膜が得られることを見出し、本発明を完成させた。
 すなわち、本発明は、第1観点として、加水分解性シラン化合物、その加水分解物及びその加水分解縮合物から選ばれる少なくとも1種と、溶媒とを含む膜形成用組成物であって、
上記加水分解性シラン化合物が、シアノ基を分子内に有する下記式(1)で表される加水分解性シランを含むことを特徴とする、
膜形成用組成物に関する。
Figure JPOXMLDOC01-appb-C000004
(式(1)中、
は、ケイ素原子に結合する基であって、シアノ基を含む有機基を表し、
は、Si-C結合によりケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、若しくはスルホニル基を含む有機基、又はそれらの組み合わせを表し、
は、ケイ素原子に結合する基又は原子であって、互いに独立して、ヒドロキシ基、アルコキシ基、アラルキルオキシ基、アシルオキシ基又はハロゲン原子を表し、
aは1の整数を表し、bは0乃至2の整数を表し、a+bは1乃至3の整数を表す。)
 第2観点として、上記シアノ基を含む有機基が、鎖状アルキル基、分枝状アルキル基、及び環状アルキル基からなる群から選択されるアルキル基中の1以上の水素原子が、シアノ基(-CN)及びチオシアナト基(-S-CN)から選択されるシアノ含有基で置換された有機基である、第1観点に記載の膜形成用組成物に関する。
 第3観点として、上記加水分解性シラン化合物の加水分解縮合物を含む、第1観点又は第2観点に記載の膜形成用組成物に関する。
 第4観点として、上記加水分解性シラン化合物が、更に、
下記式(2)で表される加水分解性シラン及び下記式(3)で表される加水分解性シランから選ばれる少なくとも一種を含む、
第1観点乃至第3観点のうちいずれか一に記載の膜形成用組成物に関する。
Figure JPOXMLDOC01-appb-C000005
(式(2)中、
は、Si-C結合によりケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、若しくはスルホニル基を含む有機基、又はそれらの組み合わせを表し、
は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表し、
cは、0乃至3の整数を表す。)
Figure JPOXMLDOC01-appb-C000006
(式(3)中、
は、Si-C結合によりケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、若しくはスルホニル基を含む有機基、又はそれらの組み合わせを表し、
は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表し、
Yは、Si-C結合によりケイ素原子に結合する基であって、互いに独立して、アルキレン基又はアリーレン基を表し、
dは、0又は1の整数を表し、
eは、0又は1の整数を表す。)
 第5観点として、上記加水分解縮合物は、式(1)で表されるシアノ基を分子内に有する加水分解性シランを、加水分解性シラン化合物の全量に基づいて0.1モル%乃至10モル%の割合で含む、加水分解性シラン化合物の加水分解縮合物である、
第1観点乃至第4観点のうちいずれか一に記載の膜形成用組成物に関する。
 第6観点として、加水分解性シラン化合物の加水分解が、加水分解触媒として硝酸を用いて行われる、
第1観点乃至第5観点のうちいずれか一に記載の膜形成用組成物に関する。
 第7観点として、上記溶媒が水を含む、第1観点乃至第6観点のうちいずれか一に記載の膜形成用組成物に関する。
 第8観点として、pH調整剤を更に含む、第1観点乃至第7観点のうちいずれか一に記載の膜形成用組成物に関する。
 第9観点として、界面活性剤を更に含む、第1観点乃至第8観点のうちいずれか一に記載の膜形成用組成物に関する。
 第10観点として、EUVリソグラフィー用レジスト下層膜用である、第1観点乃至第9観点のうちいずれか一に記載の膜形成用組成物に関する。
 第11観点として、第1観点乃至第10観点のうちいずれか一に記載の膜形成用組成物から得られるレジスト下層膜に関する。
 第12観点として、半導体基板と、第11観点に記載のレジスト下層膜とを備える半導体加工用基板に関する。
 本発明によれば、シアノ基を分子内に有する下記式(1)で表される加水分解性シランを含む加水分解性シラン化合物、その加水分解物及びその加水分解縮合物から選ばれる少なくとも1種と、溶媒とを含む組成物によって、EUVレジストへの良好な密着性と、高いフッ素系エッチレートを有する良好なエッチング加工性とを有する薄膜を形成できる、膜形成用組成物を提供することができる。
 そして、このような本発明の膜形成用組成物を用いることで、微細なレジストパターンの形成と、下地基板への高い転写性とが実現できる薄膜を形成することができる。
 以下、本発明についてさらに詳しく説明する。
 なお、本発明の膜形成用組成物に関し、固形分とは、当該組成物に含まれる溶媒以外の成分を意味する。
 また、後述の通り、本発明の膜形成用組成物は、特定の加水分解性シラン化合物、その加水分解物及びその加水分解縮合物から選ばれる少なくとも1種を、すなわち、これらのうちの1、2又は3種を含むが、この加水分解物や加水分解縮合物には、加水分解が完全に完了しない部分加水分解物や部分加水分解縮合物が包含される。
 本発明の膜形成用組成物は、加水分解性シラン化合物、その加水分解物及びその加水分解縮合物から選ばれる少なくとも1種と、溶媒とを含み、当該加水分解性シラン化合物が、シアノ基を分子内に有する加水分解性シランを含むことを特徴とするものである。
〔シアノ基を分子内に有する加水分解性シラン〕
 本発明の膜形成用組成物に使用する加水分解性シラン化合物に含まれる、シアノ基を分子内に有する加水分解性シランは、下記式(1)で表される。
Figure JPOXMLDOC01-appb-C000007
 Rは、ケイ素原子に結合する基であって、シアノ基を含む有機基を表す。
 このような基として、シアノ基を含む有機基である限り特に限定されるものではない。例えば、シアノ基含有基:シアノ基(-CN)やチオシアナト基(-SCN)それ自体、また特にアルキル基における1以上の水素原子がシアノ基(-CN)及びチオシアナト基(-SCN)の少なくとも一方又は双方で置換された有機基を挙げることができる。
 上記シアノ基やチオシアナト基によって水素原子が置換されるアルキル基は特に限定されるものではなく、直鎖状、分岐状、環状のいずれであってもよく、その炭素原子数は、通常40以下、例えば30以下、より例えば20以下、また10以下とすることができる。
 上記のシアノ基やチオシアナト基によって水素原子が置換され得る直鎖状又は分岐状アルキル基の具体例としては、メチル基、エチル基、n-プロピル基、i-プロピル基、n-ブチル基、i-ブチル基、s-ブチル基、t-ブチル基、n-ペンチル基、1-メチル-n-ブチル基、2-メチル-n-ブチル基、3-メチル-n-ブチル基、1,1-ジメチル-n-プロピル基、1,2-ジメチル-n-プロピル基、2,2-ジメチル-n-プロピル基、1-エチル-n-プロピル基、n-ヘキシル、1-メチル-n-ペンチル基、2-メチル-n-ペンチル基、3-メチル-n-ペンチル基、4-メチル-n-ペンチル基、1,1-ジメチル-n-ブチル基、1,2-ジメチル-n-ブチル基、1,3-ジメチル-n-ブチル基、2,2-ジメチル-n-ブチル基、2,3-ジメチル-n-ブチル基、3,3-ジメチル-n-ブチル基、1-エチル-n-ブチル基、2-エチル-n-ブチル基、1,1,2-トリメチル-n-プロピル基、1,2,2-トリメチル-n-プロピル基、1-エチル-1-メチル-n-プロピル基、1-エチル-2-メチル-n-プロピル基等が挙げられるが、これらに限定されない。
 また上記のシアノ基やチオシアナト基によって水素原子が置換され得る環状アルキル基の具体例としては、シクロプロピル基、シクロブチル基、1-メチル-シクロプロピル基、2-メチル-シクロプロピル基、シクロペンチル基、1-メチル-シクロブチル基、2-メチル-シクロブチル基、3-メチル-シクロブチル基、1,2-ジメチル-シクロプロピル基、2,3-ジメチル-シクロプロピル基、1-エチル-シクロプロピル基、2-エチル-シクロプロピル基、シクロヘキシル基、1-メチル-シクロペンチル基、2-メチル-シクロペンチル基、3-メチル-シクロペンチル基、1-エチル-シクロブチル基、2-エチル-シクロブチル基、3-エチル-シクロブチル基、1,2-ジメチル-シクロブチル基、1,3-ジメチル-シクロブチル基、2,2-ジメチル-シクロブチル基、2,3-ジメチル-シクロブチル基、2,4-ジメチル-シクロブチル基、3,3-ジメチル-シクロブチル基、1-n-プロピル-シクロプロピル基、2-n-プロピル-シクロプロピル基、1-i-プロピル-シクロプロピル基、2-i-プロピル-シクロプロピル基、1,2,2-トリメチル-シクロプロピル基、1,2,3-トリメチル-シクロプロピル基、2,2,3-トリメチル-シクロプロピル基、1-エチル-2-メチル-シクロプロピル基、2-エチル-1-メチル-シクロプロピル基、2-エチル-2-メチル-シクロプロピル、2-エチル-3-メチル-シクロプロピル基等のシクロアルキル基、ビシクロブチル基、ビシクロペンチル基、ビシクロヘキシル基、ビシクロヘプチル基、ビシクロオクチル基、ビシクロノニル基、ビシクロデシル基等のビシクロアルキル基等が挙げられるが、これらに限定されない。
 上記の中でも、Rとしては、シアノエチル基、シアノビシクロヘプチル基、チオシアナトプロピル基等を挙げることができる。
 式(1)中、Rは、Si-C結合によりケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、若しくはスルホニル基を含む有機基、又はそれらの組み合わせを表す。
 上記アルキル基として、例えば直鎖又は分枝を有する炭素原子数1乃至10のアルキル基が挙げられ、例えばメチル基、エチル基、n-プロピル基、i-プロピル基、n-ブチル基、i-ブチル基、s-ブチル基、t-ブチル基、n-ペンチル基、1-メチル-n-ブチル基、2-メチル-n-ブチル基、3-メチル-n-ブチル基、1,1-ジメチル-n-プロピル基、1,2-ジメチル-n-プロピル基、2,2-ジメチル-n-プロピル基、1-エチル-n-プロピル基、n-ヘキシル基、1-メチル-n-ペンチル基、2-メチル-n-ペンチル基、3-メチル-n-ペンチル基、4-メチル-n-ペンチル基、1,1-ジメチル-n-ブチル基、1,2-ジメチル-n-ブチル基、1,3-ジメチル-n-ブチル基、2,2-ジメチル-n-ブチル基、2,3-ジメチル-n-ブチル基、3,3-ジメチル-n-ブチル基、1-エチル-n-ブチル基、2-エチル-n-ブチル基、1,1,2-トリメチル-n-プロピル基、1,2,2-トリメチル-n-プロピル基、1-エチル-1-メチル-n-プロピル基及び1-エチル-2-メチル-n-プロピル基等が挙げられる。
 また環状アルキル基を用いることもでき、例えば炭素原子数1乃至10の環状アルキル基として、シクロプロピル基、シクロブチル基、1-メチル-シクロプロピル基、2-メチル-シクロプロピル基、シクロペンチル基、1-メチル-シクロブチル基、2-メチル-シクロブチル基、3-メチル-シクロブチル基、1,2-ジメチル-シクロプロピル基、2,3-ジメチル-シクロプロピル基、1-エチル-シクロプロピル基、2-エチル-シクロプロピル基、シクロヘキシル基、1-メチル-シクロペンチル基、2-メチル-シクロペンチル基、3-メチル-シクロペンチル基、1-エチル-シクロブチル基、2-エチル-シクロブチル基、3-エチル-シクロブチル基、1,2-ジメチル-シクロブチル基、1,3-ジメチル-シクロブチル基、2,2-ジメチル-シクロブチル基、2,3-ジメチル-シクロブチル基、2,4-ジメチル-シクロブチル基、3,3-ジメチル-シクロブチル基、1-n-プロピル-シクロプロピル基、2-n-プロピル-シクロプロピル基、1-i-プロピル-シクロプロピル基、2-i-プロピル-シクロプロピル基、1,2,2-トリメチル-シクロプロピル基、1,2,3-トリメチル-シクロプロピル基、2,2,3-トリメチル-シクロプロピル基、1-エチル-2-メチル-シクロプロピル基、2-エチル-1-メチル-シクロプロピル基、2-エチル-2-メチル-シクロプロピル基及び2-エチル-3-メチル-シクロプロピル基等が挙げられる。
 アリール基としては、炭素原子数6乃至20のアリール基が挙げられ、例えばフェニル基、o-メチルフェニル基、m-メチルフェニル基、p-メチルフェニル基、o-クロルフェニル基、m-クロルフェニル基、p-クロルフェニル基、o-フルオロフェニル基、p-メルカプトフェニル基、o-メトキシフェニル基、p-メトキシフェニル基、p-アミノフェニル基、p-シアノフェニル基、α-ナフチル基、β-ナフチル基、o-ビフェニリル基、m-ビフェニリル基、p-ビフェニリル基、1-アントリル基、2-アントリル基、9-アントリル基、1-フェナントリル基、2-フェナントリル基、3-フェナントリル基、4-フェナントリル基及び9-フェナントリル基等が挙げられる。
 アラルキル基は、アリール基により置換されたアルキル基であり、このようなアリール基及びアルキル基の具体例としては、上述したものと同じものが挙げられる。
 アラルキル基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 アラルキル基の具体例としては、例えばフェニルメチル基(ベンジル基)、2-フェニルエチレン基、3-フェニル-n-プロピル基、4-フェニル-n-ブチル基、5-フェニル-n-ペンチル基、6-フェニル-n-ヘキシル基、7-フェニル-n-ヘプチル基、8-フェニル-n-オクチル基、9-フェニル-n-ノニル基、10-フェニル-n-デシル基等を挙げることができるが、これらに限定されない。
 ハロゲン化アルキル基は、ハロゲン原子により置換されたアルキル基を指す。
 上記ハロゲン原子としては、フッ素原子、塩素原子、臭素原子、ヨウ素原子等が挙げられ、またアルキル基の具体例としては上述したものと同じものが挙げられる。
 ハロゲン化アルキル基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下、更に好ましくは10以下である。
 ハロゲン化アルキル基の具体例としては、モノフルオロメチル基、ジフルオロメチル基、トリフルオロメチル基、ブロモジフルオロメチル基、2-クロロエチル基、2-ブロモエチル基、1,1-ジフルオロエチル基、2,2,2-トリフルオロエチル基、1,1,2,2-テトラフルオロエチル基、2-クロロ-1,1,2-トリフルオロエチル基、ペンタフルオロエチル基、3-ブロモプロピル基、2,2,3,3-テトラフルオロプロピル基、1,1,2,3,3,3-ヘキサフルオロプロピル基、1,1,1,3,3,3-ヘキサフルオロプロパン-2-イル基、3-ブロモ-2-メチルプロピル基、4-ブロモブチル基、パーフルオロペンチル基等が挙げられるが、これらに限定されない。
 ハロゲン化アリール基は、ハロゲン原子により置換されたアリール基であり、このようなアリール基及びハロゲン原子の具体例としては、上述したものと同じものが挙げられる。
 ハロゲン化アリール基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 ハロゲン化アリール基の具体例としては、2-フルオロフェニル基、3-フルオロフェニル基、4-フルオロフェニル基、2,3-ジフルオロフェニル基、2,4-ジフルオロフェニル基、2,5-ジフルオロフェニル基、2,6-ジフルオロフェニル基、3,4-ジフルオロフェニル基、3,5-ジフルオロフェニル基、2,3,4-トリフルオロフェニル基、2,3,5-トリフルオロフェニル基、2,3,6-トリフルオロフェニル基、2,4,5-トリフルオロフェニル基、2,4,6-トリフルオロフェニル基、3,4,5-トリフルオロフェニル基、2,3,4,5-テトラフルオロフェニル基、2,3,4,6-テトラフルオロフェニル基、2,3,5,6-テトラフルオロフェニル基、ペンタフルオロフェニル基、2-フルオロ-1-ナフチル基、3-フルオロ-1-ナフチル基、4-フルオロ-1-ナフチル基、6-フルオロ-1-ナフチル基、7-フルオロ-1-ナフチル基、8-フルオロ-1-ナフチル基、4,5-ジフルオロ-1-ナフチル基、5,7-ジフルオロ-1-ナフチル基、5,8-ジフルオロ-1-ナフチル基、5,6,7,8-テトラフルオロ-1-ナフチル基、ヘプタフルオロ-1-ナフチル基、1-フルオロ-2-ナフチル基、5-フルオロ-2-ナフチル基、6-フルオロ-2-ナフチル基、7-フルオロ-2-ナフチル基、5,7-ジフルオロ-2-ナフチル基、ヘプタフルオロ-2-ナフチル基等が挙げられるが、これらに限定されない。
 ハロゲン化アラルキル基は、ハロゲン原子により置換されたアラルキル基であり、このようなアラルキル基及びハロゲン原子の具体例としては、上述したものと同じものが挙げられる。
 ハロゲン化アラルキル基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 ハロゲン化アラルキル基の具体例としては、2-フルオロベンジル基、3-フルオロベンジル基、4-フルオロベンジル基、2,3-ジフルオロベンジル基、2,4-ジフルオロベンジル基、2,5-ジフルオロベンジル基、2,6-ジフルオロベンジル基、3,4-ジフルオロベンジル基、3,5-ジフルオロベンジル基、2,3,4-トリフルオロベンジル基、2,3,5-トリフルオロベンジル基、2,3,6-トリフルオロベンジル基、2,4,5-トリフルオロベンジル基、2,4,6-トリフルオロベンジル基、2,3,4,5-テトラフルオロベンジル基、2,3,4,6-テトラフルオロベンジル基、2,3,5,6-テトラフルオロベンジル基、2,3,4,5,6-ペンタフルオロベンジル基等が挙げられるが、これらに限定されない。
 アルコキシアルキル基は、アルコキシ基により置換されたアルキル基をいう。このようなアルキル基の具体例としては、上述したものと同じものが挙げられる。
 上記アルコキシ基としては、炭素原子数1乃至20の直鎖、分岐、環状のアルキル部分を有するアルコキシ基が挙げられ、例えばメトキシ基、エトキシ基、n-プロポキシ基、i-プロポキシ基、n-ブトキシ基、i-ブトキシ基、s-ブトキシ基、t-ブトキシ基、n-ペンチロキシ基、1-メチル-n-ブトキシ基、2-メチル-n-ブトキシ基、3-メチル-n-ブトキシ基、1,1-ジメチル-n-プロポキシ基、1,2-ジメチル-n-プロポキシ基、2,2-ジメチル-n-プロポキシ基、1-エチル-n-プロポキシ基、n-ヘキシロキシ基、1-メチル-n-ペンチロキシ基、2-メチル-n-ペンチロキシ基、3-メチル-n-ペンチロキシ基、4-メチル-n-ペンチロキシ基、1,1-ジメチル-n-ブトキシ基、1,2-ジメチル-n-ブトキシ基、1,3-ジメチル-n-ブトキシ基、2,2-ジメチル-n-ブトキシ基、2,3-ジメチル-n-ブトキシ基、3,3-ジメチル-n-ブトキシ基、1-エチル-n-ブトキシ基、2-エチル-n-ブトキシ基、1,1,2-トリメチル-n-プロポキシ基、1,2,2-トリメチル-n-プロポキシ基、1-エチル-1-メチル-n-プロポキシ基及び1-エチル-2-メチル-n-プロポキシ基等が、また環状のアルコキシ基としてはシクロプロポキシ基、シクロブトキシ基、1-メチル-シクロプロポキシ基、2-メチル-シクロプロポキシ基、シクロペンチロキシ基、1-メチル-シクロブトキシ基、2-メチル-シクロブトキシ基、3-メチル-シクロブトキシ基、1,2-ジメチル-シクロプロポキシ基、2,3-ジメチル-シクロプロポキシ基、1-エチル-シクロプロポキシ基、2-エチル-シクロプロポキシ基、シクロヘキシロキシ基、1-メチル-シクロペンチロキシ基、2-メチル-シクロペンチロキシ基、3-メチル-シクロペンチロキシ基、1-エチル-シクロブトキシ基、2-エチル-シクロブトキシ基、3-エチル-シクロブトキシ基、1,2-ジメチル-シクロブトキシ基、1,3-ジメチル-シクロブトキシ基、2,2-ジメチル-シクロブトキシ基、2,3-ジメチル-シクロブトキシ基、2,4-ジメチル-シクロブトキシ基、3,3-ジメチル-シクロブトキシ基、1-n-プロピル-シクロプロポキシ基、2-n-プロピル-シクロプロポキシ基、1-i-プロピル-シクロプロポキシ基、2-i-プロピル-シクロプロポキシ基、1,2,2-トリメチル-シクロプロポキシ基、1,2,3-トリメチル-シクロプロポキシ基、2,2,3-トリメチル-シクロプロポキシ基、1-エチル-2-メチル-シクロプロポキシ基、2-エチル-1-メチル-シクロプロポキシ基、2-エチル-2-メチル-シクロプロポキシ基及び2-エチル-3-メチル-シクロプロポキシ基等が挙げられるが、これらに限定されるものではない。
 アルコキシアルキル基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下、更に好ましくは10以下である。
 アルコキシアルキル基の具体例としては、メトキシメチル基、エトキシメチル基、1-エトキシエチル基、2-エトキシエチル基、エトキシメチル基等の低級アルキルオキシ低級アルキル基等が挙げられるが、これらに限定されない。
 アルコキシアリール基は、アルコキシ基が置換したアリール基であり、このようなアルコキシ基及びアリール基の具体例としては、上述したものと同じものが挙げられる。
 アルコキシアリール基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 アルコキシアリール基の具体例としては、例えば、2-メトキシフェニル基、3-メトキシフェニル基、4-メトキシフェニル基、2-(1-エトキシ)フェニル基、3-(1-エトキシ)フェニル基、4-(1-エトキシ)フェニル基、2-(2-エトキシ)フェニル基、3-(2-エトキシ)フェニル基、4-(2-エトキシ)フェニル基、2-メトキシナフタレン-1-イル基、3-メトキシナフタレン-1-イル基、4-メトキシナフタレン-1-イル基、5-メトキシナフタレン-1-イル基、6-メトキシナフタレン-1-イル基、7-メトキシナフタレン-1-イル基等が挙げられるが、これらに限定されない。
 アルコキシアラルキル基は、アルコキシ基が置換したアラルキル基であり、このようなアルコキシ基及びアラルキル基の具体例としては、上述したものと同じものが挙げられる。
 アルコキシアラルキル基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 アルコキシアラルキル基の具体例としては、3-(メトキシフェニル)ベンジル基、4-(メトキシフェニル)ベンジル基等が挙げられるが、これらに限定されない。
 上記アルケニル基としては炭素原子数2乃至10のアルケニル基が挙げられ、例えばエテニル基、1-プロペニル基、2-プロペニル基、1-メチル-1-エテニル基、1-ブテニル基、2-ブテニル基、3-ブテニル基、2-メチル-1-プロペニル基、2-メチル-2-プロペニル基、1-エチルエテニル基、1-メチル-1-プロペニル基、1-メチル-2-プロペニル基、1-ペンテニル基、2-ペンテニル基、3-ペンテニル基、4-ペンテニル基、1-n-プロピルエテニル基、1-メチル-1-ブテニル基、1-メチル-2-ブテニル基、1-メチル-3-ブテニル基、2-エチル-2-プロペニル基、2-メチル-1-ブテニル基、2-メチル-2-ブテニル基、2-メチル-3-ブテニル基、3-メチル-1-ブテニル基、3-メチル-2-ブテニル基、3-メチル-3-ブテニル基、1,1-ジメチル-2-プロペニル基、1-i-プロピルエテニル基、1,2-ジメチル-1-プロペニル基、1,2-ジメチル-2-プロペニル基、1-シクロペンテニル基、2-シクロペンテニル基、3-シクロペンテニル基、1-ヘキセニル基、2-ヘキセニル基、3-ヘキセニル基、4-ヘキセニル基、5-ヘキセニル基、1-メチル-1-ペンテニル基、1-メチル-2-ペンテニル基、1-メチル-3-ペンテニル基、1-メチル-4-ペンテニル基、1-n-ブチルエテニル基、2-メチル-1-ペンテニル基、2-メチル-2-ペンテニル基、2-メチル-3-ペンテニル基、2-メチル-4-ペンテニル基、2-n-プロピル-2-プロペニル基、3-メチル-1-ペンテニル基、3-メチル-2-ペンテニル基、3-メチル-3-ペンテニル基、3-メチル-4-ペンテニル基、3-エチル-3-ブテニル基、4-メチル-1-ペンテニル基、4-メチル-2-ペンテニル基、4-メチル-3-ペンテニル基、4-メチル-4-ペンテニル基、1,1-ジメチル-2-ブテニル基、1,1-ジメチル-3-ブテニル基、1,2-ジメチル-1-ブテニル基、1,2-ジメチル-2-ブテニル基、1,2-ジメチル-3-ブテニル基、1-メチル-2-エチル-2-プロペニル基、1-s-ブチルエテニル基、1,3-ジメチル-1-ブテニル基、1,3-ジメチル-2-ブテニル基、1,3-ジメチル-3-ブテニル基、1-i-ブチルエテニル基、2,2-ジメチル-3-ブテニル基、2,3-ジメチル-1-ブテニル基、2,3-ジメチル-2-ブテニル基、2,3-ジメチル-3-ブテニル基、2-i-プロピル-2-プロペニル基、3,3-ジメチル-1-ブテニル基、1-エチル-1-ブテニル基、1-エチル-2-ブテニル基、1-エチル-3-ブテニル基、1-n-プロピル-1-プロペニル基、1-n-プロピル-2-プロペニル基、2-エチル-1-ブテニル基、2-エチル-2-ブテニル基、2-エチル-3-ブテニル基、1,1,2-トリメチル-2-プロペニル基、1-t-ブチルエテニル基、1-メチル-1-エチル-2-プロペニル基、1-エチル-2-メチル-1-プロペニル基、1-エチル-2-メチル-2-プロペニル基、1-i-プロピル-1-プロペニル基、1-i-プロピル-2-プロペニル基、1-メチル-2-シクロペンテニル基、1-メチル-3-シクロペンテニル基、2-メチル-1-シクロペンテニル基、2-メチル-2-シクロペンテニル基、2-メチル-3-シクロペンテニル基、2-メチル-4-シクロペンテニル基、2-メチル-5-シクロペンテニル基、2-メチレン-シクロペンチル基、3-メチル-1-シクロペンテニル基、3-メチル-2-シクロペンテニル基、3-メチル-3-シクロペンテニル基、3-メチル-4-シクロペンテニル基、3-メチル-5-シクロペンテニル基、3-メチレン-シクロペンチル基、1-シクロヘキセニル基、2-シクロヘキセニル基及び3-シクロヘキセニル基等が挙げられ、またビシクロへプテニル基(ノルボルニル基)等の架橋環式のアルケニル基も挙げることができる。
 上記アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基、アルケニル基における置換基としては、例えば、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アリールオキシ基、アルコキシアリール基、アルコキシアラルキル基、アルケニル基、アルコキシ基、アラルキルオキシ基等が挙げられ、これらの具体例及びそれらの好適な炭素原子数としては、上述又は後述のものと同じものが挙げられる。
 また上記アリールオキシ基は、アリール基が酸素原子(-O-)を介して結合する基であり、このようなアリール基の具体例としては上述したものと同じものが挙げられる。上記アリールオキシ基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下であり、その具体例としては、フェノキシ基、ナフタレン-2-イルオキシ基等が挙げられるが、これらに限定されない。
 また、置換基が2以上存在する場合、置換基同士が結合して環を形成してもよい。
 上記エポキシ基を含む有機基としては、例えばグリシドキシメチル基、グリシドキシエチル基、グリシドキシプロピル基、グリシドキシブチル基、エポキシシクロヘキシル基等が挙げられるがこれらに限定されない。
 上記アクリロイル基を含む有機基としては、例えばアクリロイルメチル基、アクリロイルエチル基、アクリロイルプロピル基等が挙げられるがこれらに限定されない。
 上記メタクリロイル基を含む有機基としては、例えばメタクリロイルメチル基、メタクリロイルエチル基、メタクリロイルプロピル基等が挙げられるがこれらに限定されない。
 上記メルカプト基を含む有機基としては、例えばエチルメルカプト基、ブチルメルカプト基、ヘキシルメルカプト基、オクチルメルカプト基等が挙げられるがこれらに限定されない。
 アミノ基を含む有機基としては、例えばアミノ基、アミノメチル基、アミノエチル基、ジメチルアミノエチル基、ジメチルアミノプロピル基等が挙げられるがこれらに限定されない。
 またアミノ基やアミド基を含む有機基としては例えばシアヌル酸誘導体が挙げられる。
 スルホニル基を含む有機基としては、例えばスルホニルアルキル基や、スルホニルアリール基が挙げられるがこれらに限定されない。
 式(1)中、Rは、ケイ素原子に結合する基又は原子であって、互いに独立して、ヒドロキシ基、アルコキシ基、アラルキルオキシ基、アシルオキシ基又はハロゲン原子を表す。上記アルコキシ基、ハロゲン原子としては、上述したものと同じものが挙げられる。
 アラルキルオキシ基は、アラルキルアルコールのヒドロキシ基から水素原子を取り除いて誘導される基であり、このようなアラルキル基の具体例としては、上述したものと同じものが挙げられる。
 アラルキルオキシ基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 アラルキルオキシ基の具体例としては、フェニルメチルオキシ基(ベンジルオキシ基)、2-フェニルエチレンオキシ基、3-フェニル-n-プロピルオキシ基、4-フェニル-n-ブチルオキシ基、5-フェニル-n-ペンチルオキシ基、6-フェニル-n-ヘキシルオキシ基、7-フェニル-n-ヘプチルオキシ基、8-フェニル-n-オクチルオキシ基、9-フェニル-n-ノニルオキシ基、10-フェニル-n-デシルオキシ基等が挙げられるが、これらに限定されない。
 アシルオキシ基は、カルボン酸化合物のカルボン酸基から水素原子を取り除いて誘導される基であり、典型的には、アルキルカルボン酸、アリールカルボン酸又はアラルキルカルボン酸のカルボキシ基から水素原子を取り除いて誘導されるアルキルカルボニルオキシ基、アリールカルボニルオキシ基又はアラルキルカルボニルオキシ基が挙げられるが、これらに限定されない。このようなアルキルカルボン酸、アリールカルボン酸及びアラルキルカルボン酸におけるアルキル基、アリール基及びアラルキル基の具体例としては、上述したものと同じものが挙げられる。
 アシルオキシ基の具体例としては、炭素原子数1乃至20のアシルオキシ基が挙げられる。例えばメチルカルボニルオキシ基、エチルカルボニルオキシ基、n-プロピルカルボニルオキシ基、i-プロピルカルボニルオキシ基、n-ブチルカルボニルオキシ基、i-ブチルカルボニルオキシ基、s-ブチルカルボニルオキシ基、t-ブチルカルボニルオキシ基、n-ペンチルカルボニルオキシ基、1-メチル-n-ブチルカルボニルオキシ基、2-メチル-n-ブチルカルボニルオキシ基、3-メチル-n-ブチルカルボニルオキシ基、1,1-ジメチル-n-プロピルカルボニルオキシ基、1,2-ジメチル-n-プロピルカルボニルオキシ基、2,2-ジメチル-n-プロピルカルボニルオキシ基、1-エチル-n-プロピルカルボニルオキシ基、n-ヘキシルカルボニルオキシ基、1-メチル-n-ペンチルカルボニルオキシ基、2-メチル-n-ペンチルカルボニルオキシ基、3-メチル-n-ペンチルカルボニルオキシ基、4-メチル-n-ペンチルカルボニルオキシ基、1,1-ジメチル-n-ブチルカルボニルオキシ基、1,2-ジメチル-n-ブチルカルボニルオキシ基、1,3-ジメチル-n-ブチルカルボニルオキシ基、2,2-ジメチル-n-ブチルカルボニルオキシ基、2,3-ジメチル-n-ブチルカルボニルオキシ基、3,3-ジメチル-n-ブチルカルボニルオキシ基、1-エチル-n-ブチルカルボニルオキシ基、2-エチル-n-ブチルカルボニルオキシ基、1,1,2-トリメチル-n-プロピルカルボニルオキシ基、1,2,2-トリメチル-n-プロピルカルボニルオキシ基、1-エチル-1-メチル-n-プロピルカルボニルオキシ基、1-エチル-2-メチル-n-プロピルカルボニルオキシ基、フェニルカルボニルオキシ基、及びトシルカルボニルオキシ基等が挙げられるが、これらに限定されない。
 上記式(1)中、aは1の整数を表し、bは0乃至2の整数を表し、a+bは1乃至3の整数を表す。
 bは好ましくは0又は1を表し、より好ましくは0である。
 式(1)で表されるシアノ基を分子内に有するシランとしては、具体的には下記式(1-1-1)乃至式(1-8-1)で表されるシランを挙げられるが、これらに限定されない。なお、各式中、Tは、互いに独立して、ヒドロキシ基又は炭素原子数1乃至3のアルコキシ基を表し、中でもTはエトキシ基、メトキシ基、ヒドロキシ基が好ましい。
Figure JPOXMLDOC01-appb-C000008
Figure JPOXMLDOC01-appb-C000009
Figure JPOXMLDOC01-appb-C000010
Figure JPOXMLDOC01-appb-C000011
Figure JPOXMLDOC01-appb-C000012
Figure JPOXMLDOC01-appb-C000013
Figure JPOXMLDOC01-appb-C000014
Figure JPOXMLDOC01-appb-C000015
〔その他の加水分解性シラン〕
 本発明においては、膜密度等の膜物性の調整等を目的として、上記加水分解性シラン化合物として、式(1)で表されるシアノ基を分子内に有する加水分解性シランとともに、下記式(2)で表される加水分解性シラン、及び、下記式(3)で表される加水分解性シランから選ばれる少なくとも一種(その他の加水分解性シラン)を使用することができる。これらその他の加水分解性シランの中でも、式(2)で表される加水分解性シランが好ましい。
Figure JPOXMLDOC01-appb-C000016
 式(2)中、Rは、Si-C結合によりケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、若しくはスルホニル基を含む有機基、又はそれらの組み合わせを表す。
 またRは、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表す。
 そしてcは、0乃至3の整数を表す。
 上記Rにおける各基の具体例、及びそれらの好適な炭素原子数としては、Rについて上述した基及び炭素原子数を挙げることができる。
 上記Rにおける各基の具体例、及びそれらの好適な炭素原子数としては、Rについて上述した基及び原子並びに炭素原子数を挙げることができる。
 またcは好ましくは0又は1を表し、より好ましくは0である。
Figure JPOXMLDOC01-appb-C000017
 式(3)中、Rは、Si-C結合によりケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、若しくはスルホニル基を含む有機基、又はそれらの組み合わせを表す。
 またRは、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表す。
 Yは、Si-C結合によりケイ素原子に結合する基であって、互いに独立して、アルキレン基又はアリーレン基を表す。
 そして、dは、0又は1の整数を表し、eは、0又は1の整数を表す。
 上記Rにおける各基の具体例、及びそれらの好適な炭素原子数としては、Rについて上述した基及び炭素原子数を挙げることができる。
 上記Rにおける各基の具体例、及びそれらの好適な炭素原子数としては、Rについて上述した基及び原子並びに炭素原子数を挙げることができる。
 また上記Yにおけるアルキレン基の具体例としては、メチレン基、エチレン基、トリメチレン基、テトラメチレン基、ペンタメチレン基、ヘキサメチレン基、ヘプタメチレン基、オクタメチレン基、ノナメチレン基、デカメチレン基等の直鎖状アルキレン基、1-メチルトリメチレン基、2-メチルトリメチレン基、1,1-ジメチルエチレン基、1-メチルテトラメチレン基、2-メチルテトラメチレン基、1,1-ジメチルトリメチレン基、1,2-ジメチルトリメチレン基、2,2-ジメチルトリメチレン基、1-エチルトリメチレン基等の分岐鎖状アルキレン基等のアルキレン基、メタントリイル基、エタン-1,1,2-トリイル基、エタン-1,2,2-トリイル基、エタン-2,2,2-トリイル基、プロパン-1,1,1-トリイル基、プロパン-1,1,2-トリイル基、プロパン-1,2,3-トリイル基、プロパン-1,2,2-トリイル基、プロパン-1,1,3-トリイル基、ブタン-1,1,1-トリイル基、ブタン-1,1,2-トリイル基、ブタン-1,1,3-トリイル基、ブタン-1,2,3-トリイル基、ブタン-1,2,4-トリイル基、ブタン-1,2,2-トリイル基、ブタン-2,2,3-トリイル基、2-メチルプロパン-1,1,1-トリイル基、2-メチルプロパン-1,1,2-トリイル基、2-メチルプロパン-1,1,3-トリイル基、2-メチルプロパン-1,1,1-トリイル基のアルカントリイル基等が挙げられるが、これらに限定されない。
 またアリーレン基の具体例としては、1,2-フェニレン基、1,3-フェニレン基、1,4-フェニレン基;1,5-ナフタレンジイル基、1,8-ナフタレンジイル基、2,6-ナフタレンジイル基、2,7-ナフタレンジイル基、1,2-アントラセンジイル基、1,3-アントラセンジイル基、1,4-アントラセンジイル基、1,5-アントラセンジイル基、1,6-アントラセンジイル基、1,7-アントラセンジイル基、1,8-アントラセンジイル基、2,3-アントラセンジイル基、2,6-アントラセンジイル基、2,7-アントラセンジイル基、2,9-アントラセンジイル基、2,10-アントラセンジイル基、9,10-アントラセンジイル基等の縮合環芳香族炭化水素化合物の芳香環上の水素原子を二つ取り除いて誘導される基;4,4’-ビフェニルジイル基、4,4”-パラテルフェニルジイル基の環連結芳香族炭化水素化合物の芳香環上の水素原子を二つ取り除いて誘導される基等が挙げられるが、これらに限定されない。
 またdは好ましくは0又は1を表し、より好ましくは0である。
 さらにeは好ましくは1である。
 式(2)で表される加水分解性シランの具体例としては、テトラメトキシシラン、テトラクロロシラン、テトラアセトキシシラン、テトラエトキシシラン、テトラ-n-プロポキシシラン、テトラ-i-プロポキシシラン、テトラ-n-ブトキシシラン、メチルトリメトキシシラン、メチルトリクロロシラン、メチルトリアセトキシシラン、メチルトリメトキシシラン、メチルトリプロポキシシラン、メチルトリブトキシシラン、メチルトリアミロキシシラン、メチルトリフェノキシシラン、メチルトリベンジルオキシシラン、メチルトリフェネチルオキシシラン、グリシドキシメチルトリメトキシシラン、グリシドキシメチルトリエトキシシラン、α-グリシドキシエチルトリメトキシシラン、α-グリシドキシエチルトリエトキシシラン、β-グリシドキシエチルトリメトキシシラン、β-グリシドキシエチルトリエトキシシラン、α-グリシドキシプロピルトリメトキシシラン、α-グリシドキシプロピルトリエトキシシラン、β-グリシドキシプロピルトリメトキシシラン、β-グリシドキシプロピルトリエトキシシラン、γ-グリシドキシプロピルトリメトキシシラン、γ-グリシドキシプロピルトリエトキシシラン、γ-グリシドキシプロピルトリプロポキシシラン、γ-グリシドキシプロピルトリブトキシシラン、γ-グリシドキシプロピルトリフェノキシシラン、α-グリシドキシブチルトリメトキシシラン、α-グリシドキシブチルトリエトキシシラン、β-グリシドキシブチルトリエトキシシラン、γ-グリシドキシブチルトリメトキシシラン、γ-グリシドキシブチルトリエトキシシラン、δ-グリシドキシブチルトリメトキシシラン、δ-グリシドキシブチルトリエトキシシラン、(3,4-エポキシシクロヘキシル)メチルトリメトキシシラン、(3,4-エポキシシクロヘキシル)メチルトリエトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリメトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリエトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリプロポキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリブトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリフェノキシシラン、γ-(3,4-エポキシシクロヘキシル)プロピルトリメトキシシラン、γ-(3,4-エポキシシクロヘキシル)プロピルトリエトキシシラン、δ-(3,4-エポキシシクロヘキシル)ブチルトリメトキシシラン、δ-(3,4-エポキシシクロヘキシル)ブチルトリエトキシシラン、グリシドキシメチルメチルジメトキシシラン、グリシドキシメチルメチルジエトキシシラン、α-グリシドキシエチルメチルジメトキシシラン、α-グリシドキシエチルメチルジエトキシシラン、β-グリシドキシエチルメチルジメトキシシラン、β-グリシドキシエチルエチルジメトキシシラン、α-グリシドキシプロピルメチルジメトキシシラン、α-グリシドキシプロピルメチルジエトキシシラン、β-グリシドキシプロピルメチルジメトキシシラン、β-グリシドキシプロピルエチルジメトキシシラン、γ-グリシドキシプロピルメチルジメトキシシラン、γ-グリシドキシプロピルメチルジエトキシシラン、γ-グリシドキシプロピルメチルジプロポキシシラン、γ-グリシドキシプロピルメチルジブトキシシラン、γ-グリシドキシプロピルメチルジフェノキシシラン、γ-グリシドキシプロピルエチルジメトキシシラン、γ-グリシドキシプロピルエチルジエトキシシラン、γ-グリシドキシプロピルビニルジメトキシシラン、γ-グリシドキシプロピルビニルジエトキシシラン、エチルトリメトキシシラン、エチルトリエトキシシラン、ビニルトリメトキシシラン、ビニルトリクロロシラン、ビニルトリアセトキシシラン、ビニルトリエトキシシラン、メトキシフェニルトリメトキシシラン、メトキシフェニルトリエトキシシラン、メトキシフェニルトリアセトキシシラン、メトキシフェニルトリクロロシラン、メトキシベンジルトリメトキシシラン、メトキシベンジルトリエトキシシラン、メトキシベンジルトリアセトキシシラン、メトキシベンジルトリクロロシラン、メトキシフェネチルトリメトキシシラン、メトキシフェネチルトリエトキシシラン、メトキシフェネチルトリアセトキシシラン、メトキシフェネチルトリクロロシラン、エトキシフェニルトリメトキシシラン、エトキシフェニルトリエトキシシラン、エトキシフェニルトリアセトキシシラン、エトキシフェニルトリクロロシラン、エトキシベンジルトリメトキシシラン、エトキシベンジルトリエトキシシラン、エトキシベンジルトリアセトキシシラン、エトキシベンジルトリクロロシラン、i-プロポキシフェニルトリメトキシシラン、i-プロポキシフェニルトリエトキシシラン、i-プロポキシフェニルトリアセトキシシラン、i-プロポキシフェニルトリクロロシラン、i-プロポキシベンジルトリメトキシシラン、i-プロポキシベンジルトリエトキシシラン、i-プロポキシベンジルトリアセトキシシラン、i-プロポキシベンジルトリクロロシラン、t-ブトキシフェニルトリメトキシシラン、t-ブトキシフェニルトリエトキシシラン、t-ブトキシフェニルトリアセトキシシラン、t-ブトキシフェニルトリクロロシラン、t-ブトキシベンジルトリメトキシシラン、t-ブトキシベンジルトリエトキシシラン、t-ブトキシベンジルトリアセトキシシラン、t-ブトキシシベンジルトリクロロシラン、メトキシナフチルトリメトキシシラン、メトキシナフチルトリエトキシシラン、メトキシナフチルトリアセトキシシラン、メトキシナフチルトリクロロシラン、エトキシナフチルトリメトキシシラン、エトキシナフチルトリエトキシシラン、エトキシナフチルトリアセトキシシラン、エトキシナフチルトリクロロシラン、γ-クロロプロピルトリメトキシシラン、γ-クロロプロピルトリエトキシシラン、γ-クロロプロピルトリアセトキシシラン、3、3、3-トリフロロプロピルトリメトキシシラン、γ-メタクリルオキシプロピルトリメトキシシラン、γ-メルカプトプロピルトリメトキシシラン、γ-メルカプトプロピルトリエトキシシラン、クロロメチルトリメトキシシラン、クロロメチルトリエトキシシラン、トリエトキシシリルプロピルジアリルイソシアヌレート、ビシクロ(2,2,1)ヘプテニルトリエトキシシラン、ベンゼンスルホニルプロピルトリエトキシシラン、ベンゼンスルホンアミドプロピルトリエトキシシラン、ジメチルアミノプロピルトリメトキシシラン、ジメチルジメトキシシラン、フェニルメチルジメトキシシラン、ジメチルジエトキシシラン、フェニルメチルジエトキシシラン、γ-クロロプロピルメチルジメトキシシラン、γ-クロロプロピルメチルジエトキシシラン、ジメチルジアセトキシシラン、γ-メタクリルオキシプロピルメチルジメトキシシラン、γ-メタクリルオキシプロピルメチルジエトキシシラン、γ-メルカプトプロピルメチルジメトキシシラン、γ-メルカプトメチルジエトキシシラン、メチルビニルジメトキシシラン、メチルビニルジエトキシシランや、下記式(A-1)乃至式(A-41)で表されるシラン等が挙げられるが、これらに限定されない。
Figure JPOXMLDOC01-appb-C000018
Figure JPOXMLDOC01-appb-C000019
Figure JPOXMLDOC01-appb-C000020
 これらの中でも、本発明の組成物から得られる膜の架橋密度を向上させて、レジスト膜の成分の当該得られる膜への拡散等を抑制し、当該レジスト膜のレジスト特性の維持・改善する観点等から、テトラメトキシシラン、テトラエトキシシラン等の4官能性のシランを必須として用いることが好ましい。
 式(3)で表される加水分解性シランの具体例としては、メチレンビストリメトキシシラン、メチレンビストリクロロシラン、メチレンビストリアセトキシシラン、エチレンビストリエトキシシラン、エチレンビストリクロロシラン、エチレンビストリアセトキシシラン、プロピレンビストリエトキシシラン、ブチレンビストリメトキシシラン、フェニレンビストリメトキシシラン、フェニレンビストリエトキシシラン、フェニレンビスメチルジエトキシシラン、フェニレンビスメチルジメトキシシラン、ナフチレンビストリメトキシシラン、ビストリメトキシジシラン、ビストリエトキシジシラン、ビスエチルジエトキシジシラン、ビスメチルジメトキシジシラン等が挙げられるが、これらに限定されない。
 本発明においては、上記加水分解性シラン化合物として、オニウム基を分子内に有する加水分解性オルガノシランを含んでいてもよい。オニウム基を分子内に有する加水分解性オルガノシランを用いることで、加水分解性シランの架橋反応を効果的に且つ効率的に促進できる。
 このようなオニウム基を分子内に有する加水分解性オルガノシランの好適な一例は、下記式(4)で表される。
Figure JPOXMLDOC01-appb-C000021
 R31は、ケイ素原子に結合する基であって、オニウム基又はそれを含む有機基を表す。
 R32は、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、若しくはアミノ基を含む有機基、又はそれらの組み合わせを表す。
 R33は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表す。
 fは1又は2を表し、gは0又は1を表し、1≦f+g≦2を満たす。
 上記のアルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基、アルケニル基、並びに、エポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、又はアミノ基を含む有機基、アルコキシ基、アラルキルオキシ基、アシルオキシ基、ハロゲン原子の具体例、またアルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基及びアルケニル基の置換基の具体例、及びそれらの好適な炭素原子数としては、R32については、Rに関して上述したものを、R33については、Rに関して上述したものをそれぞれ挙げることができる。
 より詳述すれば、オニウム基の具体例としては、環状アンモニウム基又は鎖状アンモニウム基が挙げられ、第3級アンモニウム基又は第4級アンモニウム基が好ましい。
 すなわち、オニウム基又はそれを含む有機基の好適な具体例としては、環状アンモニウム基若しくは鎖状アンモニウム基又はこれらの少なくとも一方を含む有機基が挙げられ、第3級アンモニウム基若しくは第4級アンモニウム基又はこれらの少なくとも一方を含む有機基が好ましい。
 なお、オニウム基が環状アンモニウム基である場合、アンモニウム基を構成する窒素原子が環を構成する原子を兼ねる。この際、環を構成する窒素原子とシリコン原子とが直接又は2価の連結基を介して結合している場合と、環を構成する炭素原子とケイ素原子が直接に又は2価の連結基を介して結合している場合とがある。
 本発明の好適な態様の一例においては、ケイ素原子に結合する基であるR31は、下記式(S1)で表されるヘテロ芳香族環状アンモニウム基である。
Figure JPOXMLDOC01-appb-C000022
 式(S1)中、A、A、A及びAは、互いに独立して、下記式(J1)乃至(J3)のいずれかで表される基を表すが、A乃至Aのうち少なくとも1つは、下記式(J2)で表される基である。上記式(4)におけるケイ素原子がA乃至Aのいずれと結合するかに応じて、構成される環が芳香族性を示すように、A乃至Aそれぞれと、それら各々に隣接し共に環を構成する原子との間の結合が、単結合であるか、二重結合であるかが定まる。
Figure JPOXMLDOC01-appb-C000023
 式(J1)乃至(J3)中、R30は、互いに独立して、単結合、水素原子、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基又はアルケニル基を表し、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素原子数としては、上述と同じものが挙げられる。
 式(S1)中、R34は、互いに独立して、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルケニル基又はヒドロキシ基を表し、R34が2つ以上存在する場合、2つのR34は、互いに結合して環を形成していてもよく、2つのR34が形成する環は架橋環構造であってもよく、このような場合においては、環状アンモニウム基は、アダマンタン環、ノルボルネン環、スピロ環等を有することとなる。
 このようなアルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素原子数としては、上述と同じものが挙げられる。
 式(S1)中、nは、1乃至8の整数であり、mは、0又は1であり、mは、0又は1から単環若しくは多環に置換可能な最大数までの正の整数である。
 mが0である場合、A乃至Aを含む(4+n)員環が構成される。すなわち、nが1であるときは5員環、nが2であるときは6員環、nが3であるときは7員環、nが4であるときは8員環、nが5であるときは9員環、nが6であるときは10員環、nが7であるときは11員環、nが8であるときは12員環が、それぞれ構成される。
 mが1である場合、A乃至Aを含む(4+n)員環とAを含む6員環とが縮合した縮合環が形成される。
 A乃至Aは、式(J1)乃至(J3)のいずれであるか次第で、環を構成する原子上に水素原子を有する場合と、水素原子を有さない場合があるが、A乃至Aが、環を構成する原子上に水素原子を有する場合、その水素原子は、R34に置き換わっていてもよい。また、A乃至A中の環構成原子以外の環構成原子に、R34が置換していてもよい。このような事情から、上述の通り、mは、0又は1から単環若しくは多環に置換可能な最大数までの整数から選択される。
 上記式(S1)で表されるヘテロ芳香族環状アンモニウム基の結合手は、このような単環又は縮合環に存在する任意の炭素原子又は窒素原子に存在し、ケイ素原子と直接結合するか、又は連結基が結合して環状アンモニウムを含む有機基が構成され、これがケイ素原子と結合する。
 このような連結基としては、アルキレン基、アリーレン基、アルケニレン基等が挙げられるが、これらに限定されない。
 アルキレン基及びアリーレン基の具体例及びそれらの好適な炭素原子数としては、上述したものと同じものが挙げられる。
 またアルケニレン基は、アルケニル基の水素原子を更に一つ取り除いて誘導される2価の基であり、このようなアルケニル基の具体例としては、上述したものと同じものが挙げられる。アルケニレン基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 その具体例としては、ビニレン、1-メチルビニレン、プロペニレン、1-ブテニレン、2-ブテニレン、1-ペンテニレン、2-ペンテニレン基等が挙げられるが、これらに限定されない。
 上記式(S1)で表されるヘテロ芳香族環状アンモニウム基を有する式(4)で表される加水分解性オルガノシランとしては、具体的には下記式(I-1)乃至式(I-80)で表されるシランを挙げられるが、これらに限定されない。
Figure JPOXMLDOC01-appb-C000024
Figure JPOXMLDOC01-appb-C000025
Figure JPOXMLDOC01-appb-C000026
 またその他の一例において、上記式(4)中のケイ素原子に結合する基であるR31は、下記式(S2)で表されるヘテロ脂肪族環状アンモニウム基とすることができる。
Figure JPOXMLDOC01-appb-C000027
 式(S2)中、A、A、A及びAは、互いに独立して、下記式(J4)乃至(J6)のいずれかで表される基を表すが、A乃至Aのうち少なくとも1つは、下記式(J5)で表される基である。上記式(4)におけるケイ素原子がA乃至Aのいずれと結合するかに応じて、構成される環が非芳香族性を示すように、A乃至Aそれぞれと、それら各々に隣接し共に環を構成する原子との結合が、単結合であるか、二重結合であるかが定まる。
Figure JPOXMLDOC01-appb-C000028
 式(J4)乃至(J6)中、R30は、互いに独立して、単結合、水素原子、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基又はアルケニル基を表し、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素原子数としては、上述したものと同じものが挙げられる。
 式(S2)中、R35は、互いに独立して、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルケニル基又はヒドロキシ基を表し、R35が2つ以上存在する場合、2つのR35は、互いに結合して環を形成していてもよく、2つのR35が形成する環は架橋環構造であってもよく、このような場合においては、環状アンモニウム基は、アダマンタン環、ノルボルネン環、スピロ環等を有することとなる。
 アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素原子数としては、上述したものと同じものが挙げられる。
 式(S2)中、nは、1乃至8の整数であり、mは、0又は1であり、mは、0又は1から単環若しくは多環に置換可能な最大数までの正の整数である。
 mが0である場合、A乃至Aを含む(4+n)員環が構成される。すなわち、nが1であるときは5員環、nが2であるときは6員環、nが3であるときは7員環、nが4であるときは8員環、nが5であるときは9員環、nが6であるときは10員環、nが7であるときは11員環、nが8であるときは12員環が、それぞれ構成される。
 mが1である場合、A乃至Aを含む(4+n)員環とAを含む6員環とが縮合した縮合環が形成される。
 A乃至Aは、式(J4)乃至(J6)のいずれであるか次第で、環を構成する原子上に水素原子を有することと、水素原子を有さないことがあるが、A乃至Aが、環を構成する原子上に水素原子を有する場合、その水素原子は、R35に置き換わっていてもよい。また、A乃至A中の環構成原子以外の環構成原子に、R35が置換していてもよい。
 このような事情から、上述の通り、mは、0又は1から単環若しくは多環に置換可能な最大数までの整数から選択される。
 上記式(S2)で表されるヘテロ脂肪族環状アンモニウム基の結合手は、このような単環又は縮合環に存在する任意の炭素原子又は窒素原子に存在し、ケイ素原子と直接結合するか、又は連結基が結合して環状アンモニウムを含む有機基が構成され、これがケイ素原子と結合する。
 このような連結基としては、アルキレン基、アリーレン基又はアルケニレン基が挙げられ、アルキレン基、アリーレン基及びアルケニレン基の具体例及びそれらの好適な炭素原子数としては、上述と同じものが挙げられる。
 上記式(S2)で表されるヘテロ脂肪族環状アンモニウム基を有する式(4)で表される加水分解性オルガノシランとしては、具体的には下記式(II-1)乃至式(II-31)で表されるシランを挙げられるが、これらに限定されない。
Figure JPOXMLDOC01-appb-C000029
Figure JPOXMLDOC01-appb-C000030
 さらにその他の一例において、上記式(4)中のケイ素原子に結合する基であるR31は、下記式(S3)で表される鎖状アンモニウム基とすることができる。
Figure JPOXMLDOC01-appb-C000031
 式(S3)中、R30は、互いに独立して、水素原子、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基又はアルケニル基を表し、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素原子数としては、上述したものと同じものが挙げられる。
 式(S3)で表される鎖状アンモニウム基は、ケイ素原子と直接結合するか、又は連結基が結合して鎖状アンモニウム基を含む有機基が構成され、これがケイ素原子と結合する。
 このような連結基としては、アルキレン基、アリーレン基又はアルケニレン基が挙げられ、アルキレン基、アリーレン基及びアルケニレン基の具体例としては、上述と同じものが挙げられる。
 上記式(S3)で表される鎖状アンモニウム基を有する式(4)で表される加水分解性オルガノシランとしては、具体的には下記式(III-1)乃至式(III-28)で表されるシランを挙げられるが、これらに限定されない。
Figure JPOXMLDOC01-appb-C000032
Figure JPOXMLDOC01-appb-C000033
 また本発明の膜形成用組成物は、加水分解性シラン化合物として、スルホン基を有するシランや、スルホンアミド基を有するシランを更に含んでいてもよい。以下、その具体例として下記式(B-1)乃至式(B-36)で表されるシランを挙げるが、これらに限定されない。
 下記式中、Meはメチル基を、Etはエチル基をそれぞれ表す。
Figure JPOXMLDOC01-appb-C000034
Figure JPOXMLDOC01-appb-C000035
Figure JPOXMLDOC01-appb-C000036
 また上記の例示以外にも、本発明の効果を損なわない範囲において、上記加水分解性シラン化合物には、上記の例示以外のその他の加水分解性シランを含んでいてよい。
 本発明の好ましい一態様においては、本発明の膜形成用組成物は、少なくとも上記加水分解性シラン化合物の加水分解縮合物を含む。
 本発明の好ましい一態様において、本発明の膜形成用組成物が含む加水分解縮合物は、式(1)で表されるシアノ基を分子内に有する加水分解性シラン、式(2)で表される加水分解性シラン、並びに所望によりその他の加水分解性シランを少なくとも用いて得られる加水分解縮合物(ポリシロキサン)を含む。
 例えば上記加水分解縮合物は、式(1)で表されるシアノ基を分子内に有する加水分解性シランを、加水分解性シラン化合物の全量に基づいて0.1モル%乃至10モル%の割合で含む、加水分解性シラン化合物の加水分解縮合物とすることができる。
 加水分解性シラン化合物として、式(1)で表されるシアノ基を分子内に有する加水分解性シラン以外のシランを用いる場合、式(1)で表されるシアノ基を分子内に有する加水分解性シランの仕込み量は、全ての加水分解性シラン化合物の仕込み量に対して、例えば0.1モル%乃至50モル%とすることができるが、ある態様においては、例えば45モル%以下、40モル%以下、35モル%以下又は30モル%以下とすることができ、また、本発明の上記効果を再現性よく得る観点から、好ましくは0.5モル%以上、より好ましくは1モル%以上、より一層好ましくは5モル%以上とすることができる。
 加水分解性シラン化合物として、式(2)で表される加水分解性シラン又は式(3)で表される加水分解性シランを用いる場合、これら加水分解性シランの仕込み量は、全ての加水分解性シラン化合物の仕込み量に対して、通常0.1モル%以上、好ましくは1モル%以上、より好ましくは5モル%以上であり、通常99.9モル%以下、好ましくは99モル%以下、より好ましくは95モル%以下である。
 また加水分解性シラン化合物として、式(4)で表されるオニウム基を分子内に有する加水分解性オルガノシランを用いる場合、当該オルガノシランの仕込み量は、全ての加水分解性シラン化合物の仕込み量に対して、通常0.01モル%以上、好ましくは0.1モル%以上であり、通常30モル%以下、好ましくは10モル%以下である。
 上記の加水分解性シラン化合物の加水分解縮合物(ポリシロキサンともいう)は、その重量平均分子量を例えば500乃至1,000,000とすることができる。組成物中での加水分解縮合物の析出等を抑制する観点等から、好ましくは重量平均分子量を500,000以下、より好ましくは250,000以下、より一層好ましくは100,000以下とすることができ、保存安定性と塗布性の両立の観点等から、好ましくは700以上、より好ましくは1,000以上とすることができる。
 なお、重量平均分子量は、GPC分析によるポリスチレン換算で得られる分子量である。GPC分析は、例えばGPC装置(商品名HLC-8220GPC、東ソー(株)製)、GPCカラム(商品名ShodexKF803L、KF802、KF801、昭和電工(株)製)を用い、カラム温度を40℃とし、溶離液(溶出溶媒)としてテトラヒドロフランを用い、流量(流速)を1.0ml/分とし、標準試料としてポリスチレン(昭和電工(株)製)を用いて、行うことができる。
 上記の加水分解シラン化合物の加水分解物や加水分解縮合物は、上述の加水分解性シラン化合物を加水分解することで得られる。
 本発明で用いる加水分解性シラン化合物は、ケイ素原子に直接結合するアルコキシ基、アラルキルオキシ基、アシルオキシ基、ハロゲン原子を、すなわち加水分解性基であるアルコキシシリル基、アラルキルオキシシリル基、アシロキシシリル基、ハロゲン化シリル基を含む。
 これら加水分解性基の加水分解には、加水分解性基の1モル当たり、通常0.5乃至100モル、好ましくは1モル乃至10モルの水を用いる。
 加水分解の際、加水分解を促進する目的等で、加水分解触媒を用いてもよいし、用いずに加水分解を行ってもよい。加水分解触媒を用いる場合は、加水分解性基の1モル当たり、通常0.0001モル乃至10モル、好ましくは0.001モル乃至1モルの加水分解触媒を用いることができる。
 加水分解と縮合を行う際の反応温度は、通常室温以上、加水分解に用いられ得る有機溶媒の常圧での還流温度以下の範囲であり、例えば20℃乃至110℃、また例えば20℃乃至80℃とすることができる。
 加水分解は完全に加水分解を行う、すなわち、全ての加水分解性基をシラノール基に変えてもよいし、部分加水分解する、即ち未反応の加水分解性基を残してもよい。即ち、加水分解及び縮合反応後に、加水分解縮合物中に未縮合の加水分解物(完全加水分解物、部分加水分解物)や、またモノマー(加水分解性シラン化合物)が残存していてもよい。
 加水分解し縮合させる際に使用可能な加水分解触媒としては、金属キレート化合物、有機酸、無機酸、有機塩基、無機塩基を挙げることができる。
 加水分解触媒としての金属キレート化合物は、例えばトリエトキシ・モノ(アセチルアセトナート)チタン、トリ-n-プロポキシ・モノ(アセチルアセトナート)チタン、トリ-i-プロポキシ・モノ(アセチルアセトナート)チタン、トリ-n-ブトキシ・モノ(アセチルアセトナート)チタン、トリ-sec-ブトキシ・モノ(アセチルアセトナート)チタン、トリ-t-ブトキシ・モノ(アセチルアセトナート)チタン、ジエトキシ・ビス(アセチルアセトナート)チタン、ジ-n-プロポキシ・ビス(アセチルアセトナート)チタン、ジ-i-プロポキシ・ビス(アセチルアセトナート)チタン、ジ-n-ブトキシ・ビス(アセチルアセトナート)チタン、ジ-sec-ブトキシ・ビス(アセチルアセトナート)チタン、ジ-t-ブトキシ・ビス(アセチルアセトナート)チタン、モノエトキシ・トリス(アセチルアセトナート)チタン、モノ-n-プロポキシ・トリス(アセチルアセトナート)チタン、モノ-i-プロポキシ・トリス(アセチルアセトナート)チタン、モノ-n-ブトキシ・トリス(アセチルアセトナート)チタン、モノ-sec-ブトキシ・トリス(アセチルアセトナート)チタン、モノ-t-ブトキシ・トリス(アセチルアセトナート)チタン、テトラキス(アセチルアセトナート)チタン、トリエトキシ・モノ(エチルアセトアセテート)チタン、トリ-n-プロポキシ・モノ(エチルアセトアセテート)チタン、トリ-i-プロポキシ・モノ(エチルアセトアセテート)チタン、トリ-n-ブトキシ・モノ(エチルアセトアセテート)チタン、トリ-sec-ブトキシ・モノ(エチルアセトアセテート)チタン、トリ-t-ブトキシ・モノ(エチルアセトアセテート)チタン、ジエトキシ・ビス(エチルアセトアセテート)チタン、ジ-n-プロポキシ・ビス(エチルアセトアセテート)チタン、ジ-i-プロポキシ・ビス(エチルアセトアセテート)チタン、ジ-n-ブトキシ・ビス(エチルアセトアセテート)チタン、ジ-sec-ブトキシ・ビス(エチルアセトアセテート)チタン、ジ-t-ブトキシ・ビス(エチルアセトアセテート)チタン、モノエトキシ・トリス(エチルアセトアセテート)チタン、モノ-n-プロポキシ・トリス(エチルアセトアセテート)チタン、モノ-i-プロポキシ・トリス(エチルアセトアセテート)チタン、モノ-n-ブトキシ・トリス(エチルアセトアセテート)チタン、モノ-sec-ブトキシ・トリス(エチルアセトアセテート)チタン、モノ-t-ブトキシ・トリス(エチルアセトアセテート)チタン、テトラキス(エチルアセトアセテート)チタン、モノ(アセチルアセトナート)トリス(エチルアセトアセテート)チタン、ビス(アセチルアセトナート)ビス(エチルアセトアセテート)チタン、トリス(アセチルアセトナート)モノ(エチルアセトアセテート)チタン等のチタンキレート化合物;トリエトキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-n-プロポキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-i-プロポキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-n-ブトキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-sec-ブトキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-t-ブトキシ・モノ(アセチルアセトナート)ジルコニウム、ジエトキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-n-プロポキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-i-プロポキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-n-ブトキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-sec-ブトキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-t-ブトキシ・ビス(アセチルアセトナート)ジルコニウム、モノエトキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-n-プロポキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-i-プロポキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-n-ブトキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-sec-ブトキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-t-ブトキシ・トリス(アセチルアセトナート)ジルコニウム、テトラキス(アセチルアセトナート)ジルコニウム、トリエトキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-n-プロポキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-i-プロポキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-n-ブトキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-sec-ブトキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-t-ブトキシ・モノ(エチルアセトアセテート)ジルコニウム、ジエトキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-n-プロポキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-i-プロポキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-n-ブトキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-sec-ブトキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-t-ブトキシ・ビス(エチルアセトアセテート)ジルコニウム、モノエトキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-n-プロポキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-i-プロポキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-n-ブトキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-sec-ブトキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-t-ブトキシ・トリス(エチルアセトアセテート)ジルコニウム、テトラキス(エチルアセトアセテート)ジルコニウム、モノ(アセチルアセトナート)トリス(エチルアセトアセテート)ジルコニウム、ビス(アセチルアセトナート)ビス(エチルアセトアセテート)ジルコニウム、トリス(アセチルアセトナート)モノ(エチルアセトアセテート)ジルコニウム、等のジルコニウムキレート化合物;トリス(アセチルアセトナート)アルミニウム、トリス(エチルアセトアセテート)アルミニウム等のアルミニウムキレート化合物等を挙げることをできるが、これらに限定されない。
 加水分解触媒としての有機酸は、例えば酢酸、プロピオン酸、ブタン酸、ペンタン酸、ヘキサン酸、ヘプタン酸、オクタン酸、ノナン酸、デカン酸、シュウ酸、マレイン酸、メチルマロン酸、アジピン酸、セバシン酸、没食子酸、酪酸、メリット酸、アラキドン酸、2-エチルヘキサン酸、オレイン酸、ステアリン酸、リノール酸、リノレイン酸、サリチル酸、安息香酸、p-アミノ安息香酸、p-トルエンスルホン酸、ベンゼンスルホン酸、モノクロロ酢酸、ジクロロ酢酸、トリクロロ酢酸、トリフルオロ酢酸、ギ酸、マロン酸、スルホン酸、フタル酸、フマル酸、クエン酸、酒石酸等を挙げることができるが、これらに限定されない。
 加水分解触媒としての無機酸は、例えば塩酸、硝酸、硫酸、フッ酸、リン酸等を挙げることができるが、これらに限定されない。
 加水分解触媒としての有機塩基は、例えばピリジン、ピロール、ピペラジン、ピロリジン、ピペリジン、ピコリン、トリメチルアミン、トリエチルアミン、モノエタノールアミン、ジエタノールアミン、ジメチルモノエタノールアミン、モノメチルジエタノールアミン、トリエタノールアミン、ジアザビシクロオクタン、ジアザビシクロノナン、ジアザビシクロウンデセン、テトラメチルアンモニウムヒドロキシド、テトラエチルアンモニウムヒドロキシド、テトラプロピルアンモニウムヒドロキシド、テトラブチルアンモニウムヒドロキシド、トリメチルフェニルアンモニウムヒドロキシド、ベンジルトリメチルアンモニウムヒドロキシド、ベンジルトリエチルアンモニウムヒドロキシド等を挙げることができるが、これらに限定されない。
 加水分解触媒としての無機塩基は、例えばアンモニア、水酸化ナトリウム、水酸化カリウム、水酸化バリウム、水酸化カルシウム等を挙げることができるが、これらに限定されない。
 これらの触媒のうち、金属キレート化合物、有機酸、無機酸が好ましく、これらは1種を単独で使用してもよいし、2種以上を組み合わせて使用してもよい。
 中でも、本発明では、加水分解触媒として硝酸を好適に用いることができる。
 加水分解をする際、溶媒として有機溶媒を用いてもよく、その具体例としては、例えばn-ペンタン、i-ペンタン、n-ヘキサン、i-ヘキサン、n-ヘプタン、i-ヘプタン、2,2,4-トリメチルペンタン、n-オクタン、i-オクタン、シクロヘキサン、メチルシクロヘキサン等の脂肪族炭化水素系溶媒;ベンゼン、トルエン、キシレン、エチルベンゼン、トリメチルベンゼン、メチルエチルベンゼン、n-プロピルベンセン、i-プロピルベンセン、ジエチルベンゼン、i-ブチルベンゼン、トリエチルベンゼン、ジ-i-プロピルベンセン、n-アミルナフタレン、トリメチルベンゼン等の芳香族炭化水素系溶媒;メタノール、エタノール、n-プロパノール、i-プロパノール、n-ブタノール、i-ブタノール、sec-ブタノール、t-ブタノール、n-ペンタノール、i-ペンタノール、2-メチルブタノール、sec-ペンタノール、t-ペンタノール、3-メトキシブタノール、n-ヘキサノール、2-メチルペンタノール、sec-ヘキサノール、2-エチルブタノール、sec-ヘプタノール、3-ヘプタノール、n-オクタノール、2-エチルヘキサノール、sec-オクタノール、n-ノニルアルコール、2,6-ジメチル-4-ヘプタノール、n-デカノール、sec-ウンデシルアルコール、トリメチルノニルアルコール、sec-テトラデシルアルコール、sec-ヘプタデシルアルコール、フェノール、シクロヘキサノール、メチルシクロヘキサノール、3,3,5-トリメチルシクロヘキサノール、ベンジルアルコール、フェニルメチルカルビノール、ジアセトンアルコール、クレゾール等のモノアルコール系溶媒;エチレングリコール、プロピレングリコール、1,3-ブチレングリコール、2,4-ペンタンジオール、2-メチル-2,4-ペンタンジオール、2,5-ヘキサンジオール、2,4-ヘプタンジオール、2-エチル-1,3-ヘキサンジオール、ジエチレングリコール、ジプロピレングリコール、トリエチレングリコール、トリプロピレングリコール、グリセリン等の多価アルコール系溶媒;アセトン、メチルエチルケトン、メチル-n-プロピルケトン、メチル-n-ブチルケトン、ジエチルケトン、メチル-i-ブチルケトン、メチル-n-ペンチルケトン、エチル-n-ブチルケトン、メチル-n-ヘキシルケトン、ジ-i-ブチルケトン、トリメチルノナノン、シクロヘキサノン、メチルシクロヘキサノン、2,4-ペンタンジオン、アセトニルアセトン、ジアセトンアルコール、アセトフェノン、フェンチョン等のケトン系溶媒;エチルエーテル、i-プロピルエーテル、n-ブチルエーテル、n-ヘキシルエーテル、2-エチルヘキシルエーテル、エチレンオキシド、1,2-プロピレンオキシド、ジオキソラン、4-メチルジオキソラン、ジオキサン、ジメチルジオキサン、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールジエチルエーテル、エチレングリコールモノ-n-ブチルエーテル、エチレングリコールモノ-n-ヘキシルエーテル、エチレングリコールモノフェニルエーテル、エチレングリコールモノ-2-エチルブチルエーテル、エチレングリコールジブチルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールモノ-n-ブチルエーテル、ジエチレングリコールジ-n-ブチルエーテル、ジエチレングリコールモノ-n-ヘキシルエーテル、エトキシトリグリコール、テトラエチレングリコールジ-n-ブチルエーテル、プロピレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、プロピレングリコールモノプロピルエーテル、プロピレングリコールモノブチルエーテル、プロピレングリコールモノメチルエーテルアセテート、ジプロピレングリコールモノメチルエーテル、ジプロピレングリコールモノエチルエーテル、ジプロピレングリコールモノプロピルエーテル、ジプロピレングリコールモノブチルエーテル、トリプロピレングリコールモノメチルエーテル、テトラヒドロフラン、2-メチルテトラヒドロフラン等のエーテル系溶媒;ジエチルカーボネート、酢酸メチル、酢酸エチル、γ-ブチロラクトン、γ-バレロラクトン、酢酸n-プロピル、酢酸i-プロピル、酢酸n-ブチル、酢酸i-ブチル、酢酸sec-ブチル、酢酸n-ペンチル、酢酸sec-ペンチル、酢酸3-メトキシブチル、酢酸メチルペンチル、酢酸2-エチルブチル、酢酸2-エチルヘキシル、酢酸ベンジル、酢酸シクロヘキシル、酢酸メチルシクロヘキシル、酢酸n-ノニル、アセト酢酸メチル、アセト酢酸エチル、酢酸エチレングリコールモノメチルエーテル、酢酸エチレングリコールモノエチルエーテル、酢酸ジエチレングリコールモノメチルエーテル、酢酸ジエチレングリコールモノエチルエーテル、酢酸ジエチレングリコールモノ-n-ブチルエーテル、酢酸プロピレングリコールモノメチルエーテル、酢酸プロピレングリコールモノエチルエーテル、酢酸プロピレングリコールモノプロピルエーテル、酢酸プロピレングリコールモノブチルエーテル、酢酸ジプロピレングリコールモノメチルエーテル、酢酸ジプロピレングリコールモノエチルエーテル、ジ酢酸グリコール、酢酸メトキシトリグリコール、プロピオン酸エチル、プロピオン酸n-ブチル、プロピオン酸i-アミル、シュウ酸ジエチル、シュウ酸ジ-n-ブチル、乳酸メチル、乳酸エチル、乳酸n-ブチル、乳酸n-アミル、マロン酸ジエチル、フタル酸ジメチル、フタル酸ジエチル等のエステル系溶媒;N-メチルホルムアミド、N,N-ジメチルホルムアミド、N,N-ジエチルホルムアミド、アセトアミド、N-メチルアセトアミド、N,N-ジメチルアセトアミド、N-メチルプロピオンアミド、N-メチルピロリドン等の含窒素系溶媒;硫化ジメチル、硫化ジエチル、チオフェン、テトラヒドロチオフェン、ジメチルスルホキシド、スルホラン、1,3-プロパンスルトン等の含硫黄系溶媒等を挙げることができるが、これらに限定されない。これらの溶媒は、1種単独で又は2種以上組み合わせて用いることができる。
 これらの中でも、アセトン、メチルエチルケトン、メチル-n-プロピルケトン、メチル-n-ブチルケトン、ジエチルケトン、メチル-i-ブチルケトン、メチル-n-ペンチルケトン、エチル-n-ブチルケトン、メチル-n-ヘキシルケトン、ジ-i-ブチルケトン、トリメチルノナノン、シクロヘキサノン、メチルシクロヘキサノン、2,4-ペンタンジオン、アセトニルアセトン、ジアセトンアルコール、アセトフェノン、フェンチョン等のケトン系溶媒が溶液の保存安定性の点で好ましい。
 加水分解反応の終了後、反応溶液をそのまま又は希釈若しくは濃縮し、それを中和することで、或いはイオン交換樹脂を用いて処理することで、加水分解に用いた酸や塩基等の加水分解触媒を取り除くことができる。また、このような処理の前又は後に、減圧蒸留等によって、反応溶液から副生成物のアルコールや水、用いた加水分解触媒等を除去することができる。
 このようにして得られた加水分解縮合物(ポリシロキサン)は、有機溶媒中に溶解しているポリシロキサンワニスの形態として得られ、これをそのまま後述する膜形成用組成物として用いることができる。得られたポリシロキサンワニスは溶媒置換してもよいし、また適宜溶媒で希釈してもよい。なお得られたポリシロキサンワニスは、その保存安定性が悪くなければ、有機溶媒を留去し、固形分濃度100%とすることもできる。
 上記ポリシロキサンワニスの溶媒置換や希釈等に用いる有機溶媒は、加水分解性シラン化合物の加水分解反応に用いた有機溶媒と同じでも異なってもよい。この希釈用溶媒は、特に限定されず、1種でも2種以上でも任意に選択して用いることができる。
[膜形成用組成物]
 本発明の膜形成用組成物は、上記加水分解性シラン化合物、その加水分解物、その加水分解縮合物(ポリシロキサン)と、溶媒とを含む。
 膜形成用組成物における固形分の濃度は、当該組成物の全質量に対して、例えば0.1質量%乃至50質量%、0.1質量%乃至30質量%、0.1質量%乃至25質量%、0.5質量%乃至20.0質量%とすることができる。固形分とは、前述したように、当該組成物の全成分から溶媒成分を除いた成分を指す。
 上記固形分中に占める加水分解性シラン化合物、その加水分解物、及びその加水分解縮合物の合計割合は20質量%以上であり、上述した本発明の効果を再現性よく得る観点から例えば50質量%乃至100質量%とすることができ、60質量%乃至100質量%、70質量%乃至100質量%、80質量%乃至100質量%、また80質量%乃至99質量%とすることができる。
 また該組成物中の上記加水分解性シラン化合物、その加水分解物、及びその加水分解縮合物の合計濃度は、例えば0.5質量%乃至20.0質量%とすることができる。
 膜形成用組成物は、上記加水分解性シラン化合物、その加水分解物及び/又はその加水分解縮合物と、溶媒と、所望によりその他の成分が含まれる場合には当該その他の成分とを混合することで製造できる。この際、加水分解縮合物等を含む溶液を予め準備し、この溶液を、溶媒やその他の成分と混合してもよい。
 混合順序は特に限定されるものではない。例えば、加水分解縮合物等を含む溶液に、溶媒を加えて混合し、その混合物にその他の成分を加えてもよく、加水分解縮合物等を含む溶液と、溶媒と、その他の成分を同時に混合してもよい。
 必要であれば、最後に更に溶媒を追加で加えたり、溶媒に比較的溶けやすい一部の成分を混合物中に含めずにおき、最後にそれを加えたりしてもよいが、構成成分の凝集や分離を抑制し、均一性に優れる組成物を再現性よく調製する観点から、加水分解縮合物等が良好に溶解した溶液を予め準備し、これを用いて組成物を調製することが好ましい。なお、加水分解縮合物等は、共に混ぜられる溶媒の種類や量、その他の成分の量や性質等によっては、これらが混ぜられた際に凝集又は沈殿する可能性がある点に留意する。また、加水分解縮合物等が溶解した溶液を用いて組成物を調製する場合、最終的に得られる組成物中の加水分解縮合物等が所望の量となるように、加水分解縮合物等の溶液の濃度やその使用量を決める必要がある点も留意する。
 組成物の調製において、成分が分解したり変質したりしない範囲で、適宜加熱してもよい。
 本発明において、膜形成用組成物を製造する途中の段階において、又は全ての成分を混合した後に、サブマイクロメートルオーダーのフィルター等を用いてろ過してもよい。
 本発明の膜形成用組成物はリソグラフィー工程、特にEUVリソグラフィー工程に使用されるレジスト下層膜形成用の組成物として、好適に用いることができる。
〔溶媒〕
 本発明の膜形成用組成物に使用される溶媒は、上記固形分を溶解できる溶媒であれば特に制限なく使用することができる。
 このような溶媒は、上記の加水分解性シラン化合物、その加水分解物又はその加水分解縮合物やその他の成分を溶解する限り制限されるものではない。
 その具体例としては、メチルセロソルブアセテート、エチルセロソルブアセテート、プロピレングリコール、プロピレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、メチルイソブチルカルビノール、プロピレングリコールモノブチルエーテル、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、プロピレングリコールモノプロピルエーテルアセテート、プロピレングリコールモノブチルエーテルアセテート、トルエン、キシレン、メチルエチルケトン、シクロペンタノン、シクロヘキサノン、2-ヒドロキシプロピオン酸エチル、2-ヒドロキシ-2-メチルプロピオン酸エチル、エトキシ酢酸エチル、ヒドロキシ酢酸エチル、2-ヒドロキシ-3-メチルブタン酸メチル、3-メトキシプロピオン酸メチル、3-メトキシプロピオン酸エチル、3-エトキシプロピオン酸エチル、3-エトキシプロピオン酸メチル、ピルビン酸メチル、ピルビン酸エチル、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、エチレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、エチレングリコールモノプロピルエーテルアセテート、エチレングリコールモノブチルエーテルアセテート、ジエチレングリコールジメチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールジプロピルエーテル、ジエチレングリコールジブチルエーテルプロピレングリコールモノメチルエーテル、プロピレングリコールジメチルエーテル、プロピレングリコールジエチルエーテル、プロピレングリコールジプロピルエーテル、プロピレングリコールジブチルエーテル、乳酸エチル、乳酸プロピル、乳酸イソプロピル、乳酸ブチル、乳酸イソブチル、ギ酸メチル、ギ酸エチル、ギ酸プロピル、ギ酸イソプロピル、ギ酸ブチル、ギ酸イソブチル、ギ酸アミル、ギ酸イソアミル、酢酸メチル、酢酸エチル、酢酸アミル、酢酸イソアミル、酢酸ヘキシル、プロピオン酸メチル、プロピオン酸エチル、プロピオン酸プロピル、プロピオン酸イソプロピル、プロピオン酸ブチル、プロピオン酸イソブチル、酪酸メチル、酪酸エチル、酪酸プロピル、酪酸イソプロピル、酪酸ブチル、酪酸イソブチル、3-メトキシ-2-メチルプロピオン酸メチル、2-ヒドロキシ-3-メチル酪酸メチル、メトキシ酢酸エチル、3-メトキシブチルアセテート、3-メトキシプロピルアセテート、3-メチル-3-メトキシブチルアセテート、3-メチル-3-メトキシブチルプロピオネート、3-メチル-3-メトキシブチルブチレート、アセト酢酸メチル、メチルプロピルケトン、メチルブチルケトン、2-ヘプタノン、3-ヘプタノン、4-ヘプタノン、シクロヘキサノン、N、N-ジメチルホルムアミド、N-メチルアセトアミド、N,N-ジメチルアセトアミド、N-メチルピロリドン、4-メチル-2-ペンタノール、γ-ブチロラクトン等を挙げることができ、溶媒は1種単独で又は2種以上組み合わせて使用できる。
 また本発明の膜形成用組成物は、溶媒として水を含んでいてもよい。溶媒として水を含む場合、その含有量は、当該組成物が含む溶媒の合計質量に対して、例えば30質量%以下、好ましくは20質量%以下、より一層好ましくは15質量%以下とすることができる。
〔その他添加剤〕
 本発明の膜形成用組成物には、組成物の用途に応じて種々の添加剤を配合可能である。
 上記添加剤としては、例えば、架橋剤、架橋触媒、安定化剤(有機酸、水、アルコール等)、有機ポリマー化合物、酸発生剤、界面活性剤(ノニオン系界面活性剤、アニオン系界面活性剤、カチオン系界面活性剤、シリコン系界面活性剤、フッ素系界面活性剤、UV硬化型界面活性剤等)、pH調整剤、レオロジー調整剤、接着補助剤等、レジスト下層膜や、反射防止膜、パターン反転用膜など、半導体装置の製造に使用され得る各種膜を形成する材料(組成物)に配合される公知の添加剤を挙げることができる。
 また本発明の膜形成用組成物は、加水分解性シラン化合物、その加水分解物及びその加水分解縮合物に触媒機能を組み込んでなるものであり、一般に使用される硬化触媒を添加せずとも優れた硬化能を有するものであるが、本発明の効果を損なわない範囲において、硬化触媒(アンモニウム塩、ホスフィン類、ホスホニウム塩、スルホニウム塩、窒素含有シラン化合物等)を添加してもよい。
 なお以下に各種添加剤を例示するが、これらに限定されるものではない。
<架橋触媒>
 上記架橋触媒は、架橋反応を促進するための触媒として添加され得、その具体例として、ベンジルトリエチルアンモニウムクロリド等を挙げることができる。上記架橋触媒は、一種のみを使用することができ、また、二種以上を組み合わせて用いることもできる。上記架橋触媒を添加する場合、その添加量は、加水分解性シラン化合物、その加水分解物及びその加水分解縮合物の合計質量に対して、通常0.1質量%乃至5.0質量%である。
<安定化剤>
 上記安定化剤は、上記加水分解性シラン化合物の加水分解縮合物の安定化等の目的のために添加され得、その具体例として、有機酸、水、アルコール、又はそれらの組み合わせを添加することができる。
 上記有機酸としては、例えばシュウ酸、マロン酸、メチルマロン酸、コハク酸、マレイン酸、リンゴ酸、酒石酸、フタル酸、クエン酸、グルタル酸、乳酸、サリチル酸等が挙げられる。中でも、シュウ酸、マレイン酸が好ましい。有機酸を添加する場合、その添加量は、加水分解性シラン化合物、その加水分解物及びその加水分解縮合物の合計質量に対して0.1質量%乃至5.0質量%とすることができる。これら有機酸はpH調整剤としても働き得る。
 上記水としては、純水、超純水、イオン交換水等を用いることができ、使用する場合、その添加量は、膜形成用組成物100質量部に対して1質量部乃至20質量部とすることができる。
 上記アルコールとしては塗布後の加熱により飛散しやすいものが好ましく、例えばメタノール、エタノール、プロパノール、i-プロパノール、ブタノール等が挙げられる。アルコールを添加する場合、その添加量は、膜形成用組成物100質量部に対して1質量部乃至20質量部とすることができる。
<有機ポリマー>
 上記有機ポリマー化合物は、該組成物に添加することにより、該組成物から形成される膜(レジスト下層膜)のドライエッチング速度(単位時間当たりの膜厚の減少量)や、また減衰係数や屈折率等を調整することができる。該有機ポリマー化合物としては特に制限はなく、その添加目的に応じて、種々の有機ポリマー(縮重合ポリマー及び付加重合ポリマー)の中から適宜選択される。
 その具体例としては、ポリエステル、ポリスチレン、ポリイミド、アクリルポリマー、メタクリルポリマー、ポリビニルエーテル、フェノールノボラック、ナフトールノボラック、ポリエーテル、ポリアミド、ポリカーボネート等の付加重合ポリマー及び縮重合ポリマーが挙げられる。
 本発明においては、吸光部位として機能するベンゼン環、ナフタレン環、アントラセン環、トリアジン環、キノリン環、キノキサリン環等の芳香環や複素芳香環を含む有機ポリマーも、そのような機能が必要な場合には、好適に用い得る。そのような有機ポリマー化合物の具体例としては、ベンジルアクリレート、ベンジルメタクリレート、フェニルアクリレート、ナフチルアクリレート、アントリルメタクリレート、アントリルメチルメタクリレート、スチレン、ヒドロキシスチレン、ベンジルビニルエーテル及びN-フェニルマレイミド等の付加重合性モノマーをその構造単位として含む付加重合ポリマーや、フェノールノボラック及びナフトールノボラック等の縮重合ポリマーが挙げられるが、これらに限定されない。
 有機ポリマー化合物として付加重合ポリマーが使用される場合、そのポリマー化合物は、単独重合体、共重合体のいずれであってもよい。
 付加重合ポリマーの製造には付加重合性モノマーが使用されるが、そのような付加重合性モノマーの具体例としては、アクリル酸、メタクリル酸、アクリル酸エステル化合物、メタクリル酸エステル化合物、アクリルアミド化合物、メタクリルアミド化合物、ビニル化合物、スチレン化合物、マレイミド化合物、マレイン酸無水物、アクリロニトリル等が挙げられるが、これらに限定されない。
 アクリル酸エステル化合物の具体例としては、メチルアクリレート、エチルアクリレート、ノルマルヘキシルアクリレート、i-プロピルアクリレート、シクロヘキシルアクリレート、ベンジルアクリレート、フェニルアクリレート、アントリルメチルアクリレート、2-ヒドロキシエチルアクリレート、3-クロロ-2-ヒドロキシプロピルアクリレート、2-ヒドロキシプロピルアクリレート、2,2,2-トリフルオロエチルアクリレート、2,2,2-トリクロロエチルアクリレート、2-ブロモエチルアクリレート、4-ヒドロキシブチルアクリレート、2-メトキシエチルアクリレート、テトラヒドロフルフリルアクリレート、2-メチル-2-アダマンチルアクリレート、5-アクリロイルオキシ-6-ヒドロキシノルボルネン-2-カルボキシリック-6-ラクトン、3-アクリロキシプロピルトリエトキシシラン、グリシジルアクリレート等が挙げられるが、これらに限定されない。
 メタクリル酸エステル化合物の具体例としては、メチルメタクリレート、エチルメタクリレート、ノルマルヘキシルメタクリレート、i-プロピルメタクリレート、シクロヘキシルメタクリレート、ベンジルメタクリレート、フェニルメタクリレート、アントリルメチルメタクリレート、2-ヒドロキシエチルメタクリレート、2-ヒドロキシプロピルメタクリレート、2,2,2-トリフルオロエチルメタクリレート、2,2,2-トリクロロエチルメタクリレート、2-ブロモエチルメタクリレート、4-ヒドロキシブチルメタクリレート、2-メトキシエチルメタクリレート、テトラヒドロフルフリルメタクリレート、2-メチル-2-アダマンチルメタクリレート、5-メタクリロイルオキシ-6-ヒドロキシノルボルネン-2-カルボキシリック-6-ラクトン、3-メタクリロキシプロピルトリエトキシシラン、グリシジルメタクリレート、2-フェニルエチルメタクリレート、ヒドロキシフェニルメタクリレート、ブロモフェニルメタクリレート等が挙げられるが、これらに限定されない。
 アクリルアミド化合物の具体例としては、アクリルアミド、N-メチルアクリルアミド、N-エチルアクリルアミド、N-ベンジルアクリルアミド、N-フェニルアクリルアミド、N,N-ジメチルアクリルアミド、N-アントリルアクリルアミド等が挙げられるが、これらに限定されない。
 メタクリルアミド化合物の具体例としては、メタクリルアミド、N-メチルメタクリルアミド、N-エチルメタクリルアミド、N-ベンジルメタクリルアミド、N-フェニルメタクリルアミド、N,N-ジメチルメタクリルアミド、N-アントリルアクリルアミド等が挙げられるが、これらに限定されない。
 ビニル化合物の具体例としては、ビニルアルコール、2-ヒドロキシエチルビニルエーテル、メチルビニルエーテル、エチルビニルエーテル、ベンジルビニルエーテル、ビニル酢酸、ビニルトリメトキシシラン、2-クロロエチルビニルエーテル、2-メトキシエチルビニルエーテル、ビニルナフタレン、ビニルアントラセン等が挙げられるが、これらに限定されない。
 スチレン化合物の具体例としては、スチレン、ヒドロキシスチレン、クロロスチレン、ブロモスチレン、メトキシスチレン、シアノスチレン、アセチルスチレン等が挙げられるが、これらに限定されない。
 マレイミド化合物としては、マレイミド、N-メチルマレイミド、N-フェニルマレイミド、N-シクロヘキシルマレイミド、N-ベンジルマレイミド、N-ヒドロキシエチルマレイミド等が挙げられるが、これらに限定されない。
 ポリマーとして縮重合ポリマーが使用される場合、そのようなポリマーとしては、例えば、グリコール化合物とジカルボン酸化合物との縮重合ポリマーが挙げられる。グリコール化合物としてはジエチレングリコール、ヘキサメチレングリコール、ブチレングリコール等が挙げられる。ジカルボン酸化合物としては、コハク酸、アジピン酸、テレフタル酸、無水マレイン酸等が挙げられる。また、例えば、ポリピロメリットイミド、ポリ(p-フェニレンテレフタルアミド)、ポリブチレンテレフタレート、ポリエチレンテレフタレート等のポリエステル、ポリアミド、ポリイミド等が挙げられるが、これらに限定されない。
 有機ポリマー化合物がヒドロキシ基を含む場合は、このヒドロキシ基は、加水分解縮合物等と架橋反応をし得る。
 上記有機ポリマー化合物の重量平均分子量は、通常1,000~1,000,000でとすることができる。有機ポリマー化合物を配合する場合、ポリマーとしての機能の効果を十分に得つつ、組成物中での析出を抑制する観点から、その重量平均分子量を例えば3,000~300,000、又は5,000~300,000、あるいは10,000~200,000などとすることができる。
 このような有機ポリマー化合物は、1種単独で使用してもよいし、2種以上組み合わせて用いることができる。
 本発明の膜形成用組成物が有機ポリマー化合物を含む場合、その含有量は、その有機ポリマー化合物の機能等を考慮して適宜定まるため一概に規定できないが、通常、加水分解性シラン化合物、その加水分解物及びその加水分解縮合物の合計質量に対して、1質量%乃至200質量%の範囲とすることができ、組成物中での析出を抑制する観点等から、例えば100質量%以下、好ましくは50質量%以下、より好ましくは30質量%以下とすることができ、その効果を十分に得る観点等から、例えば5質量%以上、好ましくは10質量%以上、より好ましくは30質量%以上とすることができる。
<酸発生剤>
 酸発生剤としては、熱酸発生剤や光酸発生剤が挙げられ、光酸発生剤を好ましく用いることができる。
 光酸発生剤としては、オニウム塩化合物、スルホンイミド化合物、ジスルホニルジアゾメタン化合物等が挙げられるが、これらに限定されない。
 また熱酸発生剤としては、例えばテトラメチルアンモニウム硝酸塩等が挙げられるが、これに限定されない。
 オニウム塩化合物の具体例としては、ジフェニルヨードニウムヘキサフルオロホスフエート、ジフェニルヨードニウムトリフルオロメタンスルホネート、ジフェニルヨードニウムノナフルオロノルマルブタンスルホネート、ジフェニルヨードニウムパーフルオロノルマルオクタンスルホネート、ジフェニルヨードニウムカンファースルホネート、ビス(4-t-ブチルフェニル)ヨードニウムカンファースルホネート、ビス(4-t-ブチルフェニル)ヨードニウムトリフルオロメタンスルホネート等のヨードニウム塩化合物、トリフェニルスルホニウムヘキサフルオロアンチモネート、トリフェニルスルホニウムノナフルオロノルマルブタンスルホネート、トリフェニルスルホニウムカンファースルホネート、トリフェニルスルホニウムトリフルオロメタンスルホネート、トリフェニルスルホニウム硝酸塩(ナイトレート)、トリフェニルスルホニウムトリフルオロ酢酸塩、トリフェニルスルホニウムマレイン酸塩、トリフェニルスルホニウムカンファースルホン酸塩、トリフェニルスルホニウムクロリド等のスルホニウム塩化合物等を挙げることができるが、これらに限定されない。
 スルホンイミド化合物の具体例としては、N-(トリフルオロメタンスルホニルオキシ)スクシンイミド、N-(ノナフルオロノルマルブタンスルホニルオキシ)スクシンイミド、N-(カンファースルホニルオキシ)スクシンイミド、N-(トリフルオロメタンスルホニルオキシ)ナフタルイミド等が挙げられるが、これらに限定されない。
 ジスルホニルジアゾメタン化合物の具体例としては、ビス(トリフルオロメチルスルホニル)ジアゾメタン、ビス(シクロヘキシルスルホニル)ジアゾメタン、ビス(フェニルスルホニル)ジアゾメタン、ビス(p-トルエンスルホニル)ジアゾメタン、ビス(2,4-ジメチルベンゼンスルホニル)ジアゾメタン、メチルスルホニル-p-トルエンスルホニルジアゾメタン等が挙げられるが、これらに限定されない。
 本発明の膜形成用組成物が酸発生剤を含む場合、その含有量は、酸発生剤の種類等を考慮して適宜定まるため一概に規定できないが、通常、加水分解性シラン化合物、その加水分解物及びその加水分解縮合物の合計質量に対して、0.01質量%乃至5質量%の範囲であり、組成物中での酸発生剤の析出を抑制する観点等から、好ましくは3質量%以下、より好ましくは1質量%以下であり、その効果を十分に得る観点等から、好ましくは0.1質量%以上、より好ましくは0.5質量%以上である。
 なお酸発生剤は、1種単独で又は2種以上組み合わせて用いることができ、また、光酸発生剤と熱酸発生剤とを併用してもよい。
<界面活性剤>
 界面活性剤は、特に本発明の膜形成用組成物をリソグラフィー用レジスト下層膜形成用組成物として使用する際、基板への塗布時にピンホール、ストレーション等の発生を抑制するのに有効である。上記界面活性剤としては、ノニオン系界面活性剤、アニオン系界面活性剤、カチオン系界面活性剤、シリコン系界面活性剤、フッ素系界面活性剤、UV硬化型界面活性剤等が挙げられる。より具体的には、例えば、ポリオキシエチレンラウリルエーテル、ポリオキシエチレンステアリルエーテル、ポリオキシエチレンセチルエーテル、ポリオキシエチレンオレイルエーテル等のポリオキシエチレンアルキルエーテル類、ポリオキシエチレンオクチルフェノールエーテル、ポリオキシエチレンノニルフェノールエーテル等のポリオキシエチレンアルキルアリルエーテル類、ポリオキシエチレン・ポリオキシプロピレンブロックコポリマー類、ソルビタンモノラウレート、ソルビタンモノパルミテート、ソルビタンモノステアレート、ソルビタンモノオレエート、ソルビタントリオレエート、ソルビタントリステアレート等のソルビタン脂肪酸エステル類、ポリオキシエチレンソルビタンモノラウレート、ポリオキシエチレンソルビタンモノパルミテート、ポリオキシエチレンソルビタンモノステアレート、ポリオキシエチレンソルビタントリオレエート、ポリオキシエチレンソルビタントリステアレート等のポリオキシエチレンソルビタン脂肪酸エステル類等のノニオン系界面活性剤、商品名エフトップEF301、EF303、EF352(三菱マテリアル電子化成(株)(旧(株)トーケムプロダクツ)製)、商品名メガファックF171、F173、R-08、R-30、R-30N、R-40LM(DIC(株)製)、フロラードFC430、FC431(住友スリーエム(株)製)、商品名アサヒガードAG710,サーフロンS-382、SC101、SC102、SC103、SC104、SC105、SC106(AGC(株)製)等のフッ素系界面活性剤、オルガノシロキサンポリマ-KP341(信越化学工業(株)製)等を挙げることができるが、これらに限定されない。
 界面活性剤は、1種単独で又は2種以上組み合わせて用いることができる。
 本発明の膜形成用組成物が界面活性剤を含む場合、その含有量は加水分解性シラン化合物、その加水分解物及びその加水分解縮合物の合計質量に対して、0.0001質量%乃至5質量%の範囲とすることができ、又は0.01質量%乃至1質量%、又は0.01質量%乃至1質量%とすることができる。
<レオロジー調整剤>
 上記レオロジー調整剤は、主に膜形成用組成物の流動性を向上させ、特にベーキング工程において、形成される膜の膜厚均一性の向上や、ホール内部への組成物の充填性を高める目的で添加される。具体例としては、ジメチルフタレート、ジエチルフタレート、ジ-i-ブチルフタレート、ジヘキシルフタレート、ブチル-i-デシルフタレート等のフタル酸誘導体、ジノルマルブチルアジペート、ジ-i-ブチルアジペート、ジ-i-オクチルアジペート、オクチルデシルアジペート等のアジピン酸誘導体、ジノルマルブチルマレート、ジエチルマレート、ジノニルマレート等のマレイン酸誘導体、メチルオレート、ブチルオレート、テトラヒドロフルフリルオレート等のオレイン酸誘導体、またはノルマルブチルステアレート、グリセリルステアレート等のステアリン酸誘導体を挙げることができる。
 これらのレオロジー調整剤が使用される場合、その添加量は、膜形成用組成物の全固形分に対して通常30質量%未満の割合である。
<接着補助剤>
 上記接着補助剤は、主に基板あるいはレジストと膜形成用組成物から形成される膜(レジスト下層膜)との密着性を向上させ、特に現像においてレジストが剥離しないようにするための目的で添加される。具体例としては、トリメチルクロロシラン、ジメチルビニルクロロシラン、メチルジフェニルクロロシラン、クロロメチルジメチルクロロシラン等のクロロシラン類、トリメチルメトキシシラン、ジメチルジエトキシシラン、メチルジメトキシシラン、ジメチルビニルエトキシシラン、ジフェニルジメトキシシラン、フェニルトリエトキシシラン等のアルコキシシラン類、ヘキサメチルジシラザン、N,N’-ビス(トリメチルシリル)ウレア、ジメチルトリメチルシリルアミン、トリメチルシリルイミダゾール等のシラザン類、ビニルトリクロロシラン、γ-クロロプロピルトリメトキシシラン、γーアミノプロピルトリエトキシシラン、γ-グリシドキシプロピルトリメトキシシラン等のシラン類、ベンゾトリアゾール、ベンズイミダゾール、インダゾール、イミダゾール、2-メルカプトベンズイミダゾール、2ーメルカプトベンゾチアゾール、2-メルカプトベンゾオキサゾール、ウラゾール、チオウラシル、メルカプトイミダゾール、メルカプトピリミジン等の複素環式化合物や、1,1-ジメチルウレア、1,3-ジメチルウレア等の尿素、またはチオ尿素化合物を挙げることができる。
 これらの接着補助剤が使用される場合、その添加量は、膜形成用組成物の全固形分に対して通常5質量%未満、好ましくは2質量%未満の割合である。
<pH調整剤>
 また、pH調整剤として、前述の<安定化剤>として挙げた有機酸などのカルボン酸基を1又は2以上有する酸の他、ビスフェノールS、又はビスフェノールS誘導体を添加することができる。ビスフェノールS、又はビスフェノールS誘導体は、加水分解性シラン化合物、その加水分解物及びその加水分解縮合物の合計質量100質量部に対して、0.01質量部乃至20質量部、又は0.01質量部乃至10質量部、又は0.01質量部乃至5質量部である。
 以下、ビスフェノールSやビスフェノールS誘導体の具体例を挙げるが、これらに限定されない。
Figure JPOXMLDOC01-appb-C000037
[半導体装置の製造方法]
 以下、本発明の一態様として、上記膜形成用組成物をレジスト下層膜形成用組成物としての使用した、半導体装置の製造方法について説明する。なお、該組成物から形成されるレジスト下層膜、並びに、半導体装置の製造方法も本発明の対象である。
 まず、半導体装置の製造に使用される基板(例えば、シリコンウエハー基板、シリコン/二酸化シリコン被覆基板、シリコンナイトライド基板、ガラス基板、ITO基板、ポリイミド基板、及び低誘電率材料(low-k材料)被覆基板等)の上に、スピナー、コーター等の適当な塗布方法により、レジスト下層膜形成用組成物(本発明の膜形成用組成物)を塗布し、その後、焼成することにより、レジスト下層膜を形成する。
 焼成する条件としては、焼成温度40℃乃至400℃、又は80℃乃至250℃、焼成時間0.3分間乃至60分間の中から適宜選択される。好ましくは、焼成温度150℃乃至250℃、焼成時間0.5分間乃至2分間である。
 ここで形成されるレジスト下層膜の膜厚としては、例えば、10nm乃至1,000nmであり、又は20nm乃至500nmであり、又は50nm乃至300nmであり、又は100nm乃至200nm、または10nm乃至100nmである。
 なお、上記基板上に有機下層膜を形成した後、この上に上記レジスト下層膜を形成した態様とすることができる。ここで使用する有機下層膜としては、特に制限はなく、これまでリソグラフィープロセスにおいて慣用されているものの中から任意に選択して使用することができる。
 基板上に、有機下層膜、その上にレジスト下層膜、さらにその上に後述するレジスト膜を設けた態様とすることにより、フォトレジストのパターン幅が狭くなり、パターン倒れを防ぐ為にフォトレジストを薄く被覆した場合でも、後述する適切なエッチングガスを選択することにより基板の加工が可能になる。例えば、フォトレジストに対して十分に早いエッチング速度を有するフッ素系ガスをエッチングガスとして用いて、本発明のレジスト下層膜の加工が可能であり、また本発明のレジスト下層膜に対して十分に早いエッチング速度を有する酸素系ガスをエッチングガスとして用いて、有機下層膜の加工が可能であり、更に有機下層膜に対して十分に早いエッチング速度を有するフッ素系ガスをエッチングガスとして用いて、基板の加工を行うことができる。
 次いで、本発明のレジスト下層膜の上に、例えばフォトレジストの層(レジスト膜)が形成される。レジスト膜の形成は周知の方法にて、すなわち、レジスト下層膜の上に、レジスト組成物(例えばフォトレジスト)を塗布し焼成することによって行なうことができる。
 レジスト膜の膜厚は、例えば10nm乃至10,000nmであり、又は100nm乃至2,000nmであり、又は200nm乃至1,000nmであり、又は30nm乃至200nmである。
 上記レジスト下層膜上に形成されるレジスト膜に使用されるフォトレジストとしては、露光に使用される光に感光するものであれば特に限定はない。ネガ型フォトレジスト及びポジ型フォトレジストのいずれも使用できる。例えば、ノボラック樹脂と1,2-ナフトキノンジアジドスルホン酸エステルとからなるポジ型フォトレジスト、酸により分解してアルカリ溶解速度を上昇させる基を有するバインダーと光酸発生剤からなる化学増幅型フォトレジスト、酸により分解してフォトレジストのアルカリ溶解速度を上昇させる低分子化合物とアルカリ可溶性バインダーと光酸発生剤とからなる化学増幅型フォトレジスト、及び酸により分解してアルカリ溶解速度を上昇させる基を有するバインダーと酸により分解してフォトレジストのアルカリ溶解速度を上昇させる低分子化合物と光酸発生剤からなる化学増幅型フォトレジスト等がある。
 商品として入手可能な具体例としては、シプレー社製商品名APEX-E、住友化学(株)製商品名PAR710、及び信越化学工業(株)製商品名SEPR430等が挙げられるが、これらに限定されない。また、例えば、Proc.SPIE,Vol.3999,330-334(2000)、Proc.SPIE,Vol.3999,357-364(2000)、やProc.SPIE,Vol.3999,365-374(2000)に記載されているような、含フッ素原子ポリマー系フォトレジストを挙げることができる。
 次に、所定のマスクを通して露光を行う。露光には、KrFエキシマレーザー(波長248nm)、ArFエキシマレーザー(波長193nm)及びF2エキシマレーザー(波長157nm)等を使用することができる。
 露光後、必要に応じて露光後加熱(post exposure bake)を行なうこともできる。露光後加熱は、加熱温度70℃乃至150℃、加熱時間0.3分間乃至10分間から適宜選択された条件で行われる。
 また、上記レジスト下層膜上に形成されるレジスト膜には、フォトレジストに替えて電子線リソグラフィー用レジスト(電子線レジストとも称する)、又はEUVリソグラフィー用レジスト(EUVレジストとも称する)を用いることができる。
 上記電子線レジストとしては、ネガ型、ポジ型いずれも使用できる。その具体例としては、酸発生剤と酸により分解してアルカリ溶解速度を変化させる基を有するバインダーからなる化学増幅型レジスト、アルカリ可溶性バインダーと酸発生剤と酸により分解してレジストのアルカリ溶解速度を変化させる低分子化合物からなる化学増幅型レジスト、酸発生剤と酸により分解してアルカリ溶解速度を変化させる基を有するバインダーと酸により分解してレジストのアルカリ溶解速度を変化させる低分子化合物からなる化学増幅型レジスト、電子線によって分解してアルカリ溶解速度を変化させる基を有するバインダーからなる非化学増幅型レジスト、電子線によって切断されアルカリ溶解速度を変化させる部位を有するバインダーからなる非化学増幅型レジストなどがある。これらの電子線レジストを用いた場合も、照射源を電子線としてフォトレジストを用いた場合と同様にレジストパターンを形成することができる。
 また上記EUVレジストとしては、メタクリレート樹脂系レジストを用いることができる。
 次いで、現像液によって現像が行なわれる。これにより、例えばポジ型フォトレジストが使用された場合は、露光された部分のフォトレジストが除去され、レジストパターンが形成される。
 現像液としては、水酸化カリウム、水酸化ナトリウムなどのアルカリ金属水酸化物の水溶液、水酸化テトラメチルアンモニウム、水酸化テトラエチルアンモニウム、コリンなどの水酸化四級アンモニウムの水溶液、エタノールアミン、プロピルアミン、エチレンジアミンなどのアミン水溶液等のアルカリ性水溶液(アルカリ現像液)等を例として挙げることができる。
 また現像液として、有機溶媒を用いることができる。これにより、例えばポジ型フォトレジストが使用された場合は、露光された部分のフォトレジストが除去され、フォトレジストのパターンが形成される。
 現像液として用い得る有機溶媒の具体例としては、酢酸メチル、酢酸ブチル、酢酸エチル、酢酸イソプロピル、酢酸アミル、酢酸イソアミル、メトキシ酢酸エチル、エトキシ酢酸エチル、プロピレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、エチレングリコールモノプロピルエーテルアセテート、エチレングリコールモノブチルエーテルアセテート、エチレングリコールモノフェニルエーテルアセテート、ジエチレングリコールモノメチルエーテルアセテート、ジエチレングリコールモノプロピルエーテルアセテート、ジエチレングリコールモノエチルエーテルアセテート、ジエチレングリコールモノフェニルエーテルアセテート、ジエチレングリコールモノブチルエーテルアセテート、2-メトキシブチルアセテート、3-メトキシブチルアセテート、4-メトキシブチルアセテート、3-メチル-3-メトキシブチルアセテート、3-エチル-3-メトキシブチルアセテート、プロピレングリコールモノエチルエーテルアセテート、プロピレングリコールモノプロピルエーテルアセテート、2-エトキシブチルアセテート、4-エトキシブチルアセテート、4-プロポキシブチルアセテート、2-メトキシペンチルアセテート、3-メトキシペンチルアセテート、4-メトキシペンチルアセテート、2-メチル-3-メトキシペンチルアセテート、3-メチル-3-メトキシペンチルアセテート、3-メチル-4-メトキシペンチルアセテート、4-メチル-4-メトキシペンチルアセテート、プロピレングリコールジアセテート、蟻酸メチル、蟻酸エチル、蟻酸ブチル、蟻酸プロピル、乳酸エチル、乳酸ブチル、乳酸プロピル、炭酸エチル、炭酸プロピル、炭酸ブチル、ピルビン酸メチル、ピルビン酸エチル、ピルビン酸プロピル、ピルビン酸ブチル、アセト酢酸メチル、アセト酢酸エチル、プロピオン酸メチル、プロピオン酸エチル、プロピオン酸プロピル、プロピオン酸イソプロピル、2-ヒドロキシプロピオン酸メチル、2-ヒドロキシプロピオン酸エチル、メチル-3-メトキシプロピオネート、エチル-3-メトキシプロピオネート、エチル-3-エトキシプロピオネート、プロピル-3-メトキシプロピオネート等を挙げることができるが、これらに限定されない。
 また現像液は、必要に応じて界面活性剤等を含んでいてもよい。
 現像の条件としては、温度5℃乃至50℃、時間10秒乃至600秒から適宜選択される。
 そして、このようにして形成されたレジスト膜(上層)のパターンを保護膜としてレジスト下層膜(中間層)の除去が行われる。レジスト下層膜の除去はドライエッチングによって行われ、テトラフルオロメタン(CF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、一酸化炭素、アルゴン、酸素、窒素、六フッ化硫黄、ジフルオロメタン、三フッ化窒素、三フッ化塩素、塩素、トリクロロボラン及びジクロロボラン等のガスを使用することができる。
 レジスト下層膜のドライエッチングには、ハロゲン系ガスを使用することが好ましい。ハロゲン系ガスによるドライエッチングでは、基本的に有機物質からなるレジスト膜(フォォトレジスト)は除去されにくい。それに対し、ケイ素原子を多く含む本発明のレジスト下層膜はハロゲン系ガスによって速やかに除去される。そのため、レジスト下層膜のドライエッチングに伴うフォトレジストの膜厚の減少を抑えることができる。そして、その結果、フォトレジストを薄膜で使用することが可能となる。従って、レジスト下層膜のドライエッチングはフッ素系ガスによることが好ましく、フッ素系ガスとしては、例えば、テトラフルオロメタン(CF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、ジフルオロメタン(CH)等が挙げられるが、これらに限定されない。
 次いでパターン化されたレジスト膜(上層)とパターン化されたレジスト下層膜(中間層)からなる膜を保護膜として、有機下層膜(下層)の除去が行われる。有機下層膜は酸素系ガスによるドライエッチングによって行なわれることが好ましい。これは、ケイ素原子を多く含む本発明のレジスト下層膜は、酸素系ガスによるドライエッチングでは除去されにくいことによる。
 最後に、パターン化されたレジスト膜(上層)、パターン化されたレジスト下層膜(中間層)、及びパターン化された有機下層膜(下層)を保護膜として、半導体基板の加工が行なわれる。半導体基板の加工はフッ素系ガスによるドライエッチングによって行なわれることが好ましい。
 フッ素系ガスとしては、例えば、テトラフルオロメタン(CF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、及びジフルオロメタン(CH)等が挙げられる。
 またレジスト下層膜の上層には、レジスト膜の形成前に有機系の反射防止膜を形成することができる。そこで使用される反射防止膜組成物としては特に制限はなく、例えば、これまでリソグラフィープロセスにおいて慣用されているものの中から任意に選択して使用することができ、また、慣用されている方法、例えば、スピナー、コーターによる塗布及び焼成によって反射防止膜の形成を行なうことができる。
 また、本発明の膜形成用組成物からなるレジスト下層膜形成用組成物が塗布される基板は、その表面にCVD法などで形成された有機系又は無機系の反射防止膜を有するものであってもよく、その上に本発明のレジスト下層膜を形成することもできる。
 本発明のレジスト下層膜はまた、リソグラフィープロセスにおいて使用される光の波長によっては、その光に対する吸収を有することがある。そして、そのような場合には、基板からの反射光を防止する効果を有する反射防止膜として機能することができる。
 さらに本発明のレジスト下層膜は、基板とレジスト膜(フォトレジスト等)との相互作用を防止するための層、レジスト膜に用いられる材料又はレジスト膜への露光時に生成する物質の基板への悪作用を防ぐ機能を有する層、加熱焼成時に基板から生成する物質の上層レジスト膜への拡散を防ぐ機能を有する層、及び半導体基板誘電体層によるレジスト膜のポイズニング効果を減少させるためのバリア層等として使用することも可能である。
 上記レジスト下層膜は、デュアルダマシンプロセスで用いられるビアホールが形成された基板に適用され得、ホールを隙間なく充填することができる穴埋め材(埋め込み材)として使用できる。また、凹凸のある半導体基板の表面を平坦化するための平坦化材として使用することもできる。
 また上記レジスト下層膜は、EUVレジストの下層膜として、ハードマスクとしての機能以外にも、例えばEUVレジストとインターミキシングすることなく、EUV露光(波長13.5nm)に際して好ましくない露光光、例えばUV(紫外)光やDUV(深紫外)光(:ArF光、KrF光)の基板又は界面からの反射を防止することができる、EUVレジストの下層反射防止膜として、用いることができる。すなわちEUVレジストの下層で効率的に反射を防止することができる。EUVレジスト下層膜として用いた場合は、そのプロセスはフォトレジスト用下層膜と同様に行うことができる。
 以下、合成例および実施例を挙げて、本発明をより具体的に説明するが、本発明は下記に限定されるものではない。
[1]ポリマー(加水分解縮合物)の合成
(合成例1)
 テトラエトキシシラン25.6g、メチルトリエトキシシラン7.82g、シアノエチルトリエトキシラン1.91g、アセトン53.0gを300mlのフラスコに入れ、混合溶液をマグネチックスターラーにて撹拌しながら0.01M硝酸水溶液11.7gを滴下した。
 滴下後、85℃に調整されたオイルバスにフラスコを移し、240分間、還流させた。その後、プロピレングリコールモノメチルエーテルアセテート70gを加え、アセトン、並びに反応副生物である、エタノール、水を減圧留去し、濃縮して加水分解縮合物(ポリマー)水溶液を得た。
 さらにプロピレングリコールモノメチルエーテルアセテートを加え、プロピレングリコールモノメチルエーテルアセテート100%の溶媒比率として140℃における固形残物換算で20質量パーセントとなるように濃度調整した。得られたポリマーは式(E1)に相当し、その重量平均分子量は、GPCによるポリスチレン換算でMw1,500であった。
Figure JPOXMLDOC01-appb-C000038
(合成例2)
 テトラエトキシシラン24.5g、シアノエチルトリエトキシラン11.0g、アセトン53.3gを300mlのフラスコに入れ、混合溶液をマグネチックスターラーにて撹拌しながら0.01M硝酸水溶液11.2gを滴下した。
 滴下後、85℃に調整されたオイルバスにフラスコを移し、240分間、還流させた。その後、プロピレングリコールモノメチルエーテルアセテート72gを加え、アセトン、並びに反応副生物である、エタノール、水を減圧留去し、濃縮して加水分解縮合物(ポリマー)水溶液を得た。
 さらにプロピレングリコールモノメチルエーテルアセテートを加え、プロピレングリコールモノメチルエーテルアセテート100%の溶媒比率として140℃における固形残物換算で20質量パーセントとなるように濃度調整した。得られたポリマーは式(E2)に相当し、その重量平均分子量は、GPCによるポリスチレン換算でMw1,300であった。
Figure JPOXMLDOC01-appb-C000039
(合成例3)
 テトラエトキシシラン25.2g、メチルトリエトキシシラン7.71g、5-(トリエトキシシリル)ビシクロ(2,2,1)ヘプチル-2-カルボニトリル2.45g、アセトン53.1gを300mlのフラスコに入れ、混合溶液をマグネチックスターラーにて撹拌しながら0.01M硝酸水溶液11.5gを滴下した。
 滴下後、85℃に調整されたオイルバスにフラスコを移し、240分間、還流させた。その後、プロピレングリコールモノメチルエーテルアセテート70gを加え、アセトン、並びに反応副生物である、エタノール、水を減圧留去し、濃縮して加水分解縮合物(ポリマー)水溶液を得た。
 さらにプロピレングリコールモノメチルエーテルアセテートを加え、プロピレングリコールモノメチルエーテルアセテート100%の溶媒比率として140℃における固形残物換算で20質量パーセントとなるように濃度調整した。得られたポリマーは式(E3)に相当し、その重量平均分子量は、GPCによるポリスチレン換算でMw1,700であった。
Figure JPOXMLDOC01-appb-C000040
(合成例4)
 テトラエトキシシラン22.7g、5-(トリエトキシシリル)ビシクロ(2,2,1)ヘプチル-2-カルボニトリル13.2g、アセトン53.8gを300mlのフラスコに入れ、混合溶液をマグネチックスターラーにて撹拌しながら0.01M硝酸水溶液10.4gを滴下した。
 滴下後、85℃に調整されたオイルバスにフラスコを移し、240分間、還流させた。その後、プロピレングリコールモノメチルエーテルアセテート72gを加え、アセトン、並びに反応副生物である、エタノール、水を減圧留去し、濃縮して加水分解縮合物(ポリマー)水溶液を得た。
 さらにプロピレングリコールモノメチルエーテルアセテートを加え、プロピレングリコールモノメチルエーテルアセテート100%の溶媒比率として140℃における固形残物換算で20質量パーセントとなるように濃度調整した。得られたポリマーは式(E4)に相当し、その重量平均分子量は、GPCによるポリスチレン換算でMw1,200であった。
Figure JPOXMLDOC01-appb-C000041
(合成例5)
 テトラエトキシシラン24.0g、メチルトリエトキシシラン5.87g、5-(トリエトキシシリル)ビシクロ(2,2,1)ヘプチル-2-カルボニトリル2.33g、トリエトキシシリルプロピルジアリルイソシアヌレート3.40g、アセトン53.4gを300mlのフラスコに入れ、混合溶液をマグネチックスターラーにて撹拌しながら0.01M硝酸水溶液11.0gを滴下した。
 滴下後、85℃に調整されたオイルバスにフラスコを移し、240分間、還流させた。その後、プロピレングリコールモノメチルエーテルアセテート72gを加え、アセトン、並びに反応副生物である、エタノール、水を減圧留去し、濃縮して加水分解縮合物(ポリマー)水溶液を得た。
 さらにプロピレングリコールモノメチルエーテルアセテートを加え、プロピレングリコールモノメチルエーテルアセテート100%の溶媒比率として140℃における固形残物換算で20質量パーセントとなるように濃度調整した。得られたポリマーは式(E5)に相当し、その重量平均分子量は、GPCによるポリスチレン換算でMw1,500であった。
Figure JPOXMLDOC01-appb-C000042
(合成例6)
 テトラエトキシシラン24.8g、メチルトリエトキシシラン6.07g、5-(トリエトキシシリル)ビシクロ(2,2,1)ヘプチル-2-カルボニトリル2.41g、ビジクロ(2,2,1)ヘプテニルトリエトキシラン2.18g、アセトン53.2gを300mlのフラスコに入れ、混合溶液をマグネチックスターラーにて撹拌しながら0.01M硝酸水溶液11.3gを滴下した。
 滴下後、85℃に調整されたオイルバスにフラスコを移し、240分間、還流させた。その後、プロピレングリコールモノメチルエーテルアセテート72gを加え、アセトン、並びに反応副生物である、エタノール、水を減圧留去し、濃縮して加水分解縮合物(ポリマー)水溶液を得た。
 さらにプロピレングリコールモノメチルエーテルアセテートを加え、プロピレングリコールモノメチルエーテルアセテート100%の溶媒比率として140℃における固形残物換算で20質量パーセントとなるように濃度調整した。得られたポリマーは式(E6)に相当し、その重量平均分子量は、GPCによるポリスチレン換算でMw1,500であった。
Figure JPOXMLDOC01-appb-C000043
(合成例7)
 テトラエトキシシラン24.3g、メチルトリエトキシシラン5.95g、5-(トリエトキシシリル)ビシクロ(2,2,1)ヘプチル-2-カルボニトリル2.37g、ベンゼンスルホンアミドプロピルトリエトキシシラン2.89g、アセトン53.3gを300mlのフラスコに入れ、混合溶液をマグネチックスターラーにて撹拌しながら0.01M硝酸水溶液11.1gを滴下した。
 滴下後、85℃に調整されたオイルバスにフラスコを移し、240分間、還流させた。その後、プロピレングリコールモノメチルエーテルアセテート72gを加え、アセトン、並びに反応副生物である、エタノール、水を減圧留去し、濃縮して加水分解縮合物(ポリマー)水溶液を得た。
 さらにプロピレングリコールモノメチルエーテルアセテートを加え、プロピレングリコールモノメチルエーテルアセテート100%の溶媒比率として140℃における固形残物換算で20質量パーセントとなるように濃度調整した。得られたポリマーは式(E7)に相当し、その重量平均分子量は、GPCによるポリスチレン換算でMw1,800であった。
Figure JPOXMLDOC01-appb-C000044
(合成例8)
 テトラエトキシシラン21.1g、メチルトリエトキシシラン6.19g、5-(トリエトキシシリル)ビシクロ(2,2,1)ヘプチル-2-カルボニトリル2.05g、アセトン53.3gを300mlのフラスコに入れ、混合溶液をマグネチックスターラーにて撹拌しながら0.2M硝酸水溶液26.1gとジメチルアミノプロピルトリメトキシシラン0.30gの混合溶液を滴下した。
 滴下後、85℃に調整されたオイルバスにフラスコを移し、240分間、還流させた。その後、プロピレングリコールモノメチルエーテル60gを加え、アセトン、並びに反応副生物であるメタノール、エタノール、水を減圧留去し、濃縮して加水分解縮合物(ポリマー)水溶液を得た。
 さらにプロピレングリコールモノメチルエーテルを加え、プロピレングリコールモノメチルエーテル100%の溶媒比率として140℃における固形残物換算で20質量パーセントとなるように濃度調整した。得られたポリマーは式(E8)に相当し、その重量平均分子量は、GPCによるポリスチレン換算でMw1,700であった。
Figure JPOXMLDOC01-appb-C000045
(合成例9)
 テトラエトキシシラン24.8g、メチルトリエトキシシラン6.08g、3-チオシアナトプロピルトリエトキシシラン4.49g、アセトン53.2gを300mlのフラスコに入れ、混合溶液をマグネチックスターラーにて撹拌しながら0.01M硝酸水溶液11.4gを滴下した。
 滴下後、85℃に調整されたオイルバスにフラスコを移し、240分間、還流させた。その後、プロピレングリコールモノメチルエーテルアセテート72gを加え、アセトン、並びに反応副生物である、エタノール、水を減圧留去し、濃縮して加水分解縮合物(ポリマー)水溶液を得た。
 さらにプロピレングリコールモノメチルエーテルアセテートを加え、プロピレングリコールモノメチルエーテルアセテート100%の溶媒比率として140℃における固形残物換算で20質量パーセントとなるように濃度調整した。得られたポリマーは式(E9)に相当し、その重量平均分子量は、GPCによるポリスチレン換算でMw1,800であった。
Figure JPOXMLDOC01-appb-C000046
(合成例10)
 テトラエトキシシラン23.2g、3-チオシアナトプロピルトリエトキシシラン12.6g、アセトン53.7gを300mlのフラスコに入れ、混合溶液をマグネチックスターラーにて撹拌しながら0.01M硝酸水溶液10.6gを滴下した。
 滴下後、85℃に調整されたオイルバスにフラスコを移し、240分間、還流させた。その後、プロピレングリコールモノメチルエーテルアセテート72gを加え、アセトン、並びに反応副生物である、エタノール、水を減圧留去し、濃縮して加水分解縮合物(ポリマー)水溶液を得た。
 さらにプロピレングリコールモノメチルエーテルアセテートを加え、プロピレングリコールモノメチルエーテルアセテート100%の溶媒比率として140℃における固形残物換算で20質量パーセントとなるように濃度調整した。得られたポリマーは式(E10)に相当し、その重量平均分子量は、GPCによるポリスチレン換算でMw1,600であった。
Figure JPOXMLDOC01-appb-C000047
(比較合成例1)
 テトラエトキシシラン24.1g、フェニルトリメトキシシラン1.8g、メチルトリエトキシシラン9.5g、アセトン53.0gを300mlのフラスコに入れ、混合溶液をマグネチックスターラーにて撹拌しながら0.01M硝酸水溶液11.7gを混合溶液に滴下した。
 滴下後、85℃に調整されたオイルバスにフラスコを移し、240分間、還流させた。その後、プロピレングリコールモノメチルエーテル70gを加え、アセトン、並びに反応副生物であるメタノール、エタノール、水を減圧留去し、濃縮して加水分解縮合物(ポリマー)水溶液を得た。
 さらにプロピレングリコールモノメチルエーテルを加え、プロピレングリコールモノメチルエーテル100%の溶媒比率として140℃における固形残物換算で13質量パーセントとなるように濃度調整した。得られたポリマーは式(C1)に相当し、その重量平均分子量は、GPCによるポリスチレン換算でMw1,400であった。
Figure JPOXMLDOC01-appb-C000048
[2]レジストパターンに塗布される組成物の調製
 上記合成例で得られたポリシロキサン(ポリマー)、添加剤、溶媒を表1に示す割合で混合し、0.1μmのフッ素樹脂製のフィルターで濾過することによって、レジストパターンに塗布される組成物をそれぞれ調製した。表1中の各添加量は質量部で示した。
 なお、表1中のポリマーの添加割合はポリマー溶液の添加量ではなく、ポリマー自体の添加量を示した。
 またDIWは超純水を、PGEEはプロピレングリコールモノエチルエーテルを、PGMEAはプロピレングリコールモノエチルエーテルアセテートを、PGMEはプロピレングリコールモノエチルエーテルをそれぞれ意味する。
 さらに、MAはマレイン酸を、TPSNO3はトリフェニルスルホニウム硝酸塩を、TPSTFAはトリフェニルスルホニウムトリフルオロ酢酸塩を、TPSMLはトリフェニルスルホニウムマレイン酸塩を、TPSClはトリフェニルスルホニウムクロリドを、BTEACはベンジルトリエチルアンモニウムクロリドを、TMANO3はテトラメチルアンモニウム硝酸塩を、TPSCSはトリフェニルスルホニウムカンファースルホン酸塩を、それぞれ意味する。
Figure JPOXMLDOC01-appb-T000049
[3]有機レジスト下層膜形成用組成物の調製
 窒素下、100mlの四口フラスコにカルバゾール(6.69g、0.040mol、東京化成工業(株)製)、9-フルオレノン(7.28g、0.040mol、東京化成工業(株)製)、パラトルエンスルホン酸一水和物(0.76g、0.0040mol、東京化成工業(株)製)を加え、1,4-ジオキサン(6.69g、関東化学(株)製)を仕込み撹拌し、100℃まで昇温し溶解させ重合を開始した。24時間後、60℃まで放冷した。
 冷却した反応混合物に、クロロホルム(34g、関東化学(株)製)を加えて希釈し、希釈した混合物をメタノール(168g、関東化学(株)製)に添加して沈殿させた。
 得られた沈殿物をろ過し、減圧乾燥機で80℃、24時間乾燥し、目的とする式(X)で表されるポリマー(以下PCzFLと略す)9.37gを得た。
 なお、PCzFLのH-NMRの測定結果は以下の通りであった。
H-NMR(400MHz,DMSO-d):δ7.03-7.55(br,12H),δ7.61-8.10(br,4H),δ11.18(br,1H)
 また、PCzFLの重量平均分子量Mwは、GPCによるポリスチレン換算では2,800、多分散度Mw/Mnは1.77であった。
Figure JPOXMLDOC01-appb-C000050
 PCzFL 20gと、架橋剤としてテトラメトキシメチルグリコールウリル(日本サイテック・インダストリーズ(株)(旧 三井サイテック(株))製、商品名パウダーリンク1174)3.0gと、触媒としてピリジニウムパラトルエンスルホネート0.30gと、界面活性剤としてメガファックR-30(DIC(株)製、商品名)0.06gとを混合し、混合物をプロピレングリコールモノメチルエーテルアセテート88gに溶解させた。その後、孔径0.10μmのポリエチレン製ミクロフィルターを用いて濾過し、更に、孔径0.05μmのポリエチレン製ミクロフィルターを用いて濾過して、多層膜によるリソグラフィープロセスに用いる有機レジスト下層膜形成用組成物を調製した。
[4]溶剤耐性及び現像液溶解性試験
 実施例1乃至実施例10並びに比較例1及び比較例2で調製した組成物を、スピナーを用いてシリコンウェハー上にそれぞれ塗布した。ホットプレート上において215℃で1分間加熱し、Si含有レジスト下層膜をそれぞれ形成し、得られた下層膜の膜厚を計測した。
 その後、各Si含有レジスト下層膜上に、プロピレングリコールモノメチルエーテル/プロピレングリコールモノメチルエーテルアセテートの混合溶媒(7/3(V/V))を塗布してスピン乾燥した。塗布後の下層膜の膜厚を計測し、混合溶媒の塗布前後での膜厚の変化の有無を評価した。混合溶媒塗布前の膜厚を基準として、塗布後の膜厚変化が1%以下のものを「良好」、膜厚変化が1%以上のものを「硬化せず」と評価した。
 また同様の方法でシリコンウェハー上に作製した各Si含有レジスト下層膜上に、アルカリ現像液(TMAH2.38%水溶液)を塗布してスピン乾燥し、塗布後の下層膜の膜厚を計測し、現像液の塗布前後での膜厚の変化の有無を評価した。現像液塗布前の膜厚を基準として、膜厚変化が1%以下のものを「良好」、膜厚変化が1%以上のものを「硬化せず」とした。
 得られた結果を表2に示す
Figure JPOXMLDOC01-appb-T000051
[5]ドライエッチング速度の測定
 ドライエッチング速度の測定では、以下のエッチャー及びエッチングガスを用いた。
   Lam2300(ラムリサーチ製):CF/CHF/N  (フッ素系ガス)
   RIE-10NR(サムコ製):O  (酸素系ガス)
 実施例1乃至実施例10及び比較例2で得られた組成物を、スピナーを用いてシリコンウェハー上にそれぞれ塗布し、ホットプレート上において215℃で1分間加熱し、Si含有レジスト下層膜(膜厚0.02μm)をそれぞれ形成した。
 また、同様に上記有機レジスト下層膜形成用組成物を、スピナーを用いてシリコンウェハー上にそれぞれ塗布し、ホットプレート上において215℃で1分間加熱し、有機レジスト下層膜を形成した(膜厚0.20μm)。
 得られた各Si含有レジスト下層膜付きシリコンウェハーを用い、エッチングガスとしてCF/CHF/Nガス、Oガスを使用して、また有機レジスト下層膜付きシリコンウェハーを用い、エッチングガスとしてOガスを使用して、ドライエッチング速度を測定した。得られた結果を表3に示す。
 なおOガスを使用したドライエッチング速度は、有機レジスト下層膜のドライエッチング速度に対する比(耐性)として表した。
Figure JPOXMLDOC01-appb-T000052
[6]EUV露光によるレジストパターンの形成:ネガ型溶剤現像
 上記有機レジスト下層膜形成用組成物を、スピナーを用いてシリコンウエハー上に塗布し、ホットプレート上において215℃で60秒間ベークし、膜厚90nmの有機下層膜(A層)を得た。
 その上に、実施例1で得られた組成物をスピンコートし、215℃で1分間加熱することにより、レジスト下層膜(B層)(20nm)を形成した。
 更にその上に、EUV用レジスト溶液(メタクリレート樹脂系レジスト)をスピンコートし、130℃で1分間加熱することにより、EUVレジスト膜(C層)を形成し、ASML製EUV露光装置(NXE3300B)を用い、NA=0.33、σ=0.67/0.90、Dipoleの条件で露光した。
 露光後、露光後加熱(PEB、110℃1分間)を行い、クーリングプレート上で室温まで冷却し、有機溶剤現像液(酢酸ブチル)を用いて60秒現像し、リンス処理をし、レジストパターンを形成した。
 同様の手順にて、実施例2乃至実施例10及び比較例2で得られた各組成物を用いてレジストパターンを形成した。
 そして得られた各パターンについて、44nmピッチ、22nmのラインアンドスペースの形成可否を、パターン断面観察によるパターン形状を確認することにより評価した。
 パターン形状の観察において、フッティングからアンダーカットの間の形状であり、かつスペース部に著しい残渣がないという状態を「良好」、レジストパターンが剥がれ倒壊しているという好ましくない状態を「倒れ」、レジストパターンの上部もしくは下部同士が接触しているという好ましくない状態を「ブリッジ」と評価した。得られた結果を表4に示す。
Figure JPOXMLDOC01-appb-T000053

Claims (12)

  1. 加水分解性シラン化合物、その加水分解物及びその加水分解縮合物から選ばれる少なくとも1種と、溶媒とを含む膜形成用組成物であって、
    上記加水分解性シラン化合物が、シアノ基を分子内に有する下記式(1)で表される加水分解性シランを含むことを特徴とする、
    膜形成用組成物。
    Figure JPOXMLDOC01-appb-C000001
    (式(1)中、
    は、ケイ素原子に結合する基であって、シアノ基を含む有機基を表し、
    は、Si-C結合によりケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、若しくはスルホニル基を含む有機基、又はそれらの組み合わせを表し、
    は、ケイ素原子に結合する基又は原子であって、互いに独立して、ヒドロキシ基、アルコキシ基、アラルキルオキシ基、アシルオキシ基又はハロゲン原子を表し、
    aは1の整数を表し、bは0乃至2の整数を表し、a+bは1乃至3の整数を表す。)
  2. 上記シアノ基を含む有機基が、鎖状アルキル基、分枝状アルキル基、及び環状アルキル基からなる群から選択されるアルキル基中の1以上の水素原子が、シアノ基(-CN)及びチオシアナト基(-S-CN)から選択されるシアノ含有基で置換された有機基である、請求項1に記載の膜形成用組成物。
  3. 上記加水分解性シラン化合物の加水分解縮合物を含む、請求項1又は請求項2に記載の膜形成用組成物。
  4. 上記加水分解性シラン化合物が、更に、
    下記式(2)で表される加水分解性シラン及び下記式(3)で表される加水分解性シランから選ばれる少なくとも一種を含む、
    請求項1乃至請求項3のうちいずれか一項に記載の膜形成用組成物。
    Figure JPOXMLDOC01-appb-C000002
    (式(2)中、
    は、Si-C結合によりケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、若しくはスルホニル基を含む有機基、又はそれらの組み合わせを表し、
    は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表し、
    cは、0乃至3の整数を表す。)
    Figure JPOXMLDOC01-appb-C000003
    (式(3)中、
    は、Si-C結合によりケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、若しくはスルホニル基を含む有機基、又はそれらの組み合わせを表し、
    は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表し、
    Yは、Si-C結合によりケイ素原子に結合する基であって、互いに独立して、アルキレン基又はアリーレン基を表し、
    dは、0又は1の整数を表し、
    eは、0又は1の整数を表す。)
  5. 上記加水分解縮合物は、式(1)で表されるシアノ基を分子内に有する加水分解性シランを、加水分解性シラン化合物の全量に基づいて0.1モル%乃至10モル%の割合で含む、加水分解性シラン化合物の加水分解縮合物である、
    請求項1乃至請求項4のうちいずれか一項に記載の膜形成用組成物。
  6. 加水分解性シラン化合物の加水分解が、加水分解触媒として硝酸を用いて行われる、
    請求項1乃至請求項5のうちいずれか一項に記載の膜形成用組成物。
  7. 上記溶媒が水を含む、請求項1乃至請求項6のうちいずれか一項に記載の膜形成用組成物。
  8. pH調整剤を更に含む、請求項1乃至請求項7のうちいずれか一項に記載の膜形成用組成物。
  9. 界面活性剤を更に含む、請求項1乃至請求項8のうちいずれか一項に記載の膜形成用組成物。
  10. EUVリソグラフィー用レジスト下層膜用である、請求項1乃至請求項9のうちいずれか一項に記載の膜形成用組成物。
  11. 請求項1乃至請求項10のうちいずれか一項に記載の膜形成用組成物から得られるレジスト下層膜。
  12. 半導体基板と、請求項11に記載のレジスト下層膜とを備える半導体加工用基板。
PCT/JP2020/013162 2019-03-28 2020-03-24 膜形成用組成物 WO2020196563A1 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020217033453A KR20210149744A (ko) 2019-03-28 2020-03-24 막형성용 조성물
CN202080039710.9A CN113891906A (zh) 2019-03-28 2020-03-24 膜形成用组合物
US17/598,955 US20220187709A1 (en) 2019-03-28 2020-03-24 Film-forming composition
JP2021509470A JPWO2020196563A1 (ja) 2019-03-28 2020-03-24

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2019-063792 2019-03-28
JP2019063792 2019-03-28

Publications (1)

Publication Number Publication Date
WO2020196563A1 true WO2020196563A1 (ja) 2020-10-01

Family

ID=72612028

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2020/013162 WO2020196563A1 (ja) 2019-03-28 2020-03-24 膜形成用組成物

Country Status (6)

Country Link
US (1) US20220187709A1 (ja)
JP (1) JPWO2020196563A1 (ja)
KR (1) KR20210149744A (ja)
CN (1) CN113891906A (ja)
TW (1) TW202043339A (ja)
WO (1) WO2020196563A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4012499A1 (en) 2020-12-07 2022-06-15 Shin-Etsu Chemical Co., Ltd. Composition for forming silicon-containing resist underlayer film and patterning process

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113985701A (zh) * 2021-12-06 2022-01-28 潍坊星泰克微电子材料有限公司 负性光刻胶组合物、制备方法及形成光刻胶图案的方法

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0220526A (ja) * 1988-07-08 1990-01-24 Hoechst Celanese Corp 非線形光学応答を示す有機ポリシロキサン
JPH1160734A (ja) * 1997-08-14 1999-03-05 Showa Denko Kk 重合体、レジスト樹脂組成物、及びそれらを用いたパターン形成方法
JP2007182555A (ja) * 2005-12-05 2007-07-19 Jsr Corp ポリシロキサン及び感放射線性樹脂組成物
JP2008076889A (ja) * 2006-09-22 2008-04-03 Jsr Corp レジスト下層膜用組成物及びその製造方法
JP2008158002A (ja) * 2006-12-20 2008-07-10 Jsr Corp レジスト下層膜用組成物及びその製造方法
JP2010519361A (ja) * 2007-02-20 2010-06-03 エイゼット・エレクトロニック・マテリアルズ・ユーエスエイ・コーポレイション シリコーンコーティング組成物
WO2012157507A1 (ja) * 2011-05-17 2012-11-22 東亞合成株式会社 表面処理剤及び表面処理方法
JP2013080165A (ja) * 2011-10-05 2013-05-02 Jnc Corp 感光性組成物
CN103694709A (zh) * 2013-12-09 2014-04-02 华南理工大学 加成型液体硅橡胶用耐漏电起痕剂及其制备方法和应用
JP2016076676A (ja) * 2014-10-09 2016-05-12 信越化学工業株式会社 Cmp研磨剤及びその製造方法、並びに基板の研磨方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4088746B2 (ja) * 2001-05-11 2008-05-21 信越化学工業株式会社 高分子化合物、化学増幅レジスト材料及びパターン形成方法
CN101946209B (zh) * 2008-02-18 2014-01-22 日产化学工业株式会社 具有环状氨基的含有硅的形成抗蚀剂下层膜的组合物
JP5621982B2 (ja) 2008-08-18 2014-11-12 日産化学工業株式会社 オニウム基を有するシリコン含有レジスト下層膜形成組成物
JP5534230B2 (ja) 2008-12-19 2014-06-25 日産化学工業株式会社 アニオン基を有するシリコン含有レジスト下層膜形成組成物
SG11201700298XA (en) * 2014-07-15 2017-02-27 Nissan Chemical Ind Ltd Silicon-containing resist underlayer film forming composition having halogenated sulfonylalkyl group
CN106662821A (zh) * 2014-07-15 2017-05-10 日产化学工业株式会社 具有含脂肪族多环结构的有机基团的含硅抗蚀剂下层膜形成用组合物
JP6694162B2 (ja) * 2014-12-08 2020-05-13 日産化学株式会社 ハロゲン含有カルボン酸アミド基を有する加水分解性シランを含むリソグラフィー用レジスト下層膜形成組成物
US9442377B1 (en) * 2015-06-15 2016-09-13 Rohm And Haas Electronic Materials Llc Wet-strippable silicon-containing antireflectant

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0220526A (ja) * 1988-07-08 1990-01-24 Hoechst Celanese Corp 非線形光学応答を示す有機ポリシロキサン
JPH1160734A (ja) * 1997-08-14 1999-03-05 Showa Denko Kk 重合体、レジスト樹脂組成物、及びそれらを用いたパターン形成方法
JP2007182555A (ja) * 2005-12-05 2007-07-19 Jsr Corp ポリシロキサン及び感放射線性樹脂組成物
JP2008076889A (ja) * 2006-09-22 2008-04-03 Jsr Corp レジスト下層膜用組成物及びその製造方法
JP2008158002A (ja) * 2006-12-20 2008-07-10 Jsr Corp レジスト下層膜用組成物及びその製造方法
JP2010519361A (ja) * 2007-02-20 2010-06-03 エイゼット・エレクトロニック・マテリアルズ・ユーエスエイ・コーポレイション シリコーンコーティング組成物
WO2012157507A1 (ja) * 2011-05-17 2012-11-22 東亞合成株式会社 表面処理剤及び表面処理方法
JP2013080165A (ja) * 2011-10-05 2013-05-02 Jnc Corp 感光性組成物
CN103694709A (zh) * 2013-12-09 2014-04-02 华南理工大学 加成型液体硅橡胶用耐漏电起痕剂及其制备方法和应用
JP2016076676A (ja) * 2014-10-09 2016-05-12 信越化学工業株式会社 Cmp研磨剤及びその製造方法、並びに基板の研磨方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4012499A1 (en) 2020-12-07 2022-06-15 Shin-Etsu Chemical Co., Ltd. Composition for forming silicon-containing resist underlayer film and patterning process
KR20220081287A (ko) 2020-12-07 2022-06-15 신에쓰 가가꾸 고교 가부시끼가이샤 규소 함유 레지스트 하층막 형성용 조성물 및 패턴 형성 방법
US11934100B2 (en) 2020-12-07 2024-03-19 Shin-Etsu Chemical Co., Ltd. Composition for forming silicon-containing resist underlayer film and patterning process

Also Published As

Publication number Publication date
JPWO2020196563A1 (ja) 2020-10-01
KR20210149744A (ko) 2021-12-09
TW202043339A (zh) 2020-12-01
US20220187709A1 (en) 2022-06-16
CN113891906A (zh) 2022-01-04

Similar Documents

Publication Publication Date Title
JP6902350B2 (ja) 脂肪族多環構造含有有機基を有するシリコン含有レジスト下層膜形成組成物
WO2010071155A1 (ja) アニオン基を有するシリコン含有レジスト下層膜形成組成物
WO2016009939A1 (ja) ハロゲン化スルホニルアルキル基を有するシリコン含有レジスト下層膜形成組成物
WO2020196563A1 (ja) 膜形成用組成物
JP7235211B2 (ja) 膜形成用組成物
WO2022230940A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2022114132A1 (ja) シリコン含有レジスト下層膜形成用組成物
JP7495015B2 (ja) 添加剤含有シリコン含有レジスト下層膜形成組成物
WO2020196642A1 (ja) 膜形成用組成物
WO2021221171A1 (ja) レジスト下層膜形成用組成物
WO2021201197A1 (ja) 膜形成用組成物
WO2022114134A1 (ja) レジスト下層膜形成用組成物
WO2022260154A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2022210901A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2022210954A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2023136250A1 (ja) シリコン含有レジスト下層膜形成用組成物、及びシリコン含有レジスト下層膜
WO2024063044A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2022210944A1 (ja) シリコン含有レジスト下層膜形成用組成物

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 20777467

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2021509470

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20217033453

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 20777467

Country of ref document: EP

Kind code of ref document: A1