WO2020051258A1 - System and method for fabricating semiconductor wafer features having controlled dimensions - Google Patents

System and method for fabricating semiconductor wafer features having controlled dimensions Download PDF

Info

Publication number
WO2020051258A1
WO2020051258A1 PCT/US2019/049611 US2019049611W WO2020051258A1 WO 2020051258 A1 WO2020051258 A1 WO 2020051258A1 US 2019049611 W US2019049611 W US 2019049611W WO 2020051258 A1 WO2020051258 A1 WO 2020051258A1
Authority
WO
WIPO (PCT)
Prior art keywords
semiconductor wafer
top surface
film
etching
vertical sidewall
Prior art date
Application number
PCT/US2019/049611
Other languages
French (fr)
Inventor
Farhat Quli
Original Assignee
Kla Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kla Corporation filed Critical Kla Corporation
Priority to CN201980060767.4A priority Critical patent/CN112714947B/en
Priority to EP19858578.8A priority patent/EP3847688A4/en
Priority to JP2021512670A priority patent/JP7232901B2/en
Priority to KR1020217010195A priority patent/KR102550487B1/en
Publication of WO2020051258A1 publication Critical patent/WO2020051258A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements

Definitions

  • the present invention relates to fabrication of semiconductor wafers, and more particularly to processes for fabricating features of semiconductor wafers for use as dimensional standards.
  • VSLI Standards, Inc. consists of a film stack of precisely controlled thicknesses (in the z dimension) that is diced out of a wafer and then mounted on its edge in order for the controlled z dimension to translate in an x/y dimension.
  • the advantage of such processes is that it is easier to grow films of uniform and controlled thickness rather than to create uniform features in the x/y dimension using lithography.
  • the disadvantage to this existing process is that it requires expensive and time-consuming bonding, dicing, polishing, and then etching processes, and even further the dice require remounting to another substrate with attention to orienting the features perpendicularly.
  • a system and method are provided for fabricating semiconductor wafer features with controlled dimensions.
  • a top surface of a semiconductor wafer is identified.
  • a first portion of the top surface of the semiconductor wafer is then vertically etched to form a step down from a second portion of the top surface of the semiconductor wafer, the step comprised of a horizontal face and a vertical sidewall.
  • a film is uniformly deposited across the horizontal face and the vertical sidewall of the step.
  • the second portion of the top surface of the semiconductor wafer is vertically etched to expose, as a feature of the semiconductor wafer, the film deposited across the vertical sidewall of the step.
  • Figure 1A shows a block diagram illustrating one embodiment of a non-transitory computer-readable medium that includes program instructions executable on a computer system for performing one or more of the computer-implemented methods described herein.
  • Figure IB is a schematic diagram illustrating a side view of one embodiment of an inspection system configured to detect defects on a fabricated device.
  • Figure 2 shows a method for fabricating semiconductor wafer features with controlled dimensions, in accordance with an embodiment.
  • Figure 3A illustrates a top surface of a semiconductor wafer, in accordance with an embodiment.
  • Figure 3B illustrates the vertical etching of a first portion of the top surface of the semiconductor wafer of Figure 3A to form a step down from a second portion of the top surface of the semiconductor wafer, in accordance with an embodiment
  • Figure 3C illustrates the uniform deposition of a film across a horizontal face and a vertical sidewall of the step of Figure 3B, in accordance with an embodiment.
  • Figure 3D illustrates the vertical etching of the second portion of the top surface of the semiconductor wafer of Figure 3C to expose, as a feature of the semiconductor wafer, the film deposited across the vertical sidewall of the step, in accordance with an embodiment.
  • Figure 3E illustrates a 3-dimensional view of the feature of Figure 3D, in accordance with an embodiment.
  • Figure 4 illustrates a system for fabricating semiconductor wafer features with controlled dimensions, in accordance with an embodiment.
  • computer-readable medium 100 includes program instructions 102 executable on computer system 104.
  • the program instructions 102 may be executed for the various purposes noted above, such as to detect defects, calibrate the inspection (e.g. metrology) system, or to perform measurement matching between different inspection (e.g. metrology) systems.
  • Program instructions 102 may be stored on computer-readable medium 100.
  • the computer-readable medium may be a storage medium such as a magnetic or optical disk, or a magnetic tape or any other suitable non-transitory computer-readable medium known in the ait.
  • computer-readable medium 100 may be located within computer system 104.
  • the program instructions may be implemented in any of various ways, including procedure-based techniques, component-based techniques, and/or object-oriented techniques, among others.
  • the program instructions may be implemented using ActiveX controls, C++ objects, JavaBeans, Microsoft Foundation Classes (“MFC”), or other technologies or methodologies, as desired.
  • MFC Microsoft Foundation Classes
  • the computer system 104 may take various forms, including a personal computer system, image computer, mainframe computer system, workstation, network appliance, Internet appliance, or other device.
  • the term“computer system” may be broadly defined to encompass any device having one or more processors, which executes instructions from a memory medium.
  • the computer system 104 may also include any suitable processor known in the art such as a parallel processor.
  • the computer system 104 may include a computer platform with high speed processing and software, either as a standalone or a networked tool.
  • the computer system 104 may be a subsystem of a larger system that also includes an inspection system 105, as shown in Figure IB.
  • the system includes inspection system 105 configured to generate output for a feature fabricated on a wafer (or other device), which is configured in this embodiment as described further herein.
  • the system also includes one or more computer systems.
  • the one or more computer systems may be configured to perform the operations described above.
  • the computer system(s) and the system may also be configured to perform any other operations described herein and may be further configured as described herein.
  • one of the computer systems is part of an electronic design automation (EDA) tool, and the inspection system and another of the computer systems are not part of the EDA tool.
  • EDA electronic design automation
  • These computer systems may include, for example, the computer system 104 described above with reference to Figure 1A.
  • one of the computer systems may be computer system 108 included in EDA tool 106.
  • the EDA tool 106 and the computer system 108 included in such a tool may include any commercially available EDA tool.
  • the inspection system 105 may be configured to generate the output for the feature on a wafer by scanning the wafer with light and detecting light from the wafer during the scanning.
  • the inspection system 105 includes light source 120, which may include any suitable light source known in the art. Light from the light source may be directed to beam splitter 118, which may be configured to direct the light from the tight source to wafer 122.
  • the light source 120 may be coupled to any other suitable elements (not shown) such as one or more condensing lenses, collimating lenses, relay lenses, objective lenses, apertures, spectral filters, polarizing components and the like. As shown in Figure IB, the light may be directed to the wafer 122 at a normal angle of incidence.
  • the light may be directed to the wafer 122 at any suitable angle of incidence including near nonnal and oblique incidence.
  • the light or multiple light beams may be directed to the wafer 122 at more than one angle of incidence sequentially or simultaneously.
  • the inspection system 105 may be configured to scan the light over the wafer 122 in any suitable manner.
  • Light from wafer 122 may be collected and detected by one or more channels of the inspection system 105 during scanning.
  • light reflected from wafer 122 at angles relatively close to normal i.e., specular reflected light when the incidence is normal
  • Lens 114 may include a refractive optical element as shown in Figure IB.
  • lens 114 may include one or more refractive optical elements and/or one or more reflective optical elements.
  • Light collected by lens 114 may be focused to detector 112.
  • Detector 1 12 may include any suitable detector known in the art such as a charge coupled device (CCD) or another type of imaging detector.
  • Detector 112 is configured to generate output that is responsive to the reflected light collected by lens 1 14.
  • CCD charge coupled device
  • lens 114 and detector 112 form one channel of the inspection system 105.
  • This channel of the inspection system 105 may include any other suitable optical components (not shown) known in the art.
  • the inspection system shown in Figure IB is configured to detect light specular reflected from the wafer 122, the inspection system 105 is configured as a (bright field) BF inspection system.
  • Such an inspection system 105 may, however, also be configured for other types of wafer inspection.
  • the inspection system shown in Figure IB may also include one or more other channels (not shown).
  • the other channel(s) may include any of the optical components described herein such as a lens and a detector, configured as a scattered light channel.
  • the lens and the detector may be further configured as described herein. In this manner, the inspection system 105 may also be configured for (dark field) DF inspection.
  • the inspection system 105 may also include a computer system 110.
  • the optical elements described above may form optical subsystem 111 of inspection subsystem 105, which may also include computer system 110 that is coupled to the optical subsystem 111.
  • output generated by the detector(s) during scanning may be provided to computer system 110.
  • the computer system 110 may be coupled to detector 112 (e.g., by one or more transmission media shown by the dashed line in Figure 1B, which may include any suitable transmission media known in the art) such that the computer system 110 may receive the output generated by the detector.
  • the computer system 110 of the inspection system 105 may be configured to perform any of the operations described above.
  • computer system 110 may be configured for systematic and stochastic characterization of pattern defects identified from the wafer, or for measuring features of the wafer.
  • the one or more of the computer system(s) may be configured as a virtual inspector such as that described in U.S. Pat. No. 8,126,255 issued on Feb. 28, 2012 to Bhaskar et al., which is incorporated by reference as if fully set forth herein.
  • the computer system 110 of the inspection system 105 may also be coupled to another computer system that is not part of the inspection system such as computer system 108, which may be included in another tool such as the EDA tool 106 described above such that computer system 110 can receive output generated by computer system 108, which may include a design generated by that computer system 108.
  • the two computer systems may be effectively coupled by a shared computer-readable storage medium such as a fab database or may be coupled by a transmission medium such as that described above such that information may be transmitted between the two computer systems.
  • Figure IB is provided herein to generally illustrate a configuration of an inspection system that may be utilized as described herein.
  • the inspection system configuration described herein may be altered to optimize the performance of the inspection system as is normally performed when designing a commercial inspection system.
  • the systems described herein may be implemented using an existing inspection system (e.g., by adding functionality described herein to an existing inspection system) such as the 29xx/28xx series of tools that are commercially available from KLA-Tencor.
  • the methods described herein may be provided as optional functionality of the system (e.g., in addition to other functionality of the system).
  • the system described herein may be designed“from scratch” to provide a completely new system.
  • the inspection system 105 may be directly or indirectly coupled to a review system (not shown), such as the SEM review system disclosed in U.S. Patent No. 9,293,298.
  • the SEM review system may be operable to review defects detected by the inspection system 105 for classification of the defects, which in turn can be used to train the inspection system 105 for better defect detection.
  • Figure 2 shows a method 200 for fabricating semiconductor wafer features with controlled dimensions, in accordance with an embodiment.
  • the method 200 may be carried out by any system having hardware components configured for fabricating semiconductor wafer features in the manner described.
  • the method 200 may be carried out by the system 400 described below with reference to Figure 4.
  • a top surface of a semiconductor wafer is identified.
  • the semiconductor wafer may be any wafer comprised of semiconductor material. Accordingly, the top surface of the semiconductor wafer may be a substrate of semiconductor material.
  • the semiconductor wafer may be a silicon wafer (i.e. comprised of silicon material).
  • the top surface of the semiconductor wafer may be a silicon substrate, such as (110) silicon.
  • the top surface of the semiconductor wafer may be a hard mask deposited on the substrate of the semiconductor wafer.
  • the hard mask may be silicon nitride.
  • a first portion of the top surface of the semiconductor wafer is vertically etched to form a step down from a second portion of the top surface of the semiconductor wafer.
  • the step comprises a horizontal face (at a lower height than the second portion of the top surface of the semiconductor wafer) and a vertical sidewall (extending from the second portion of the top surface of the semiconductor wafer to the horizontal face).
  • the vertical etching may include wet etching or dry etching.
  • vertically etching the first portion of the top surface of the semiconductor wafer may include vertically etching through a first portion of the hard mask and a first portion of the substrate (silicon) of the semiconductor wafer on which the first portion of the hard mask is deposited.
  • the first portion of the top surface of the semiconductor wafer may be vertically etched to any desired depth.
  • a film is uniformly deposited across the horizontal face and the vertical sidewall of the step.
  • the film may include thermal silicon oxide, for example.
  • the film may include a vapor grown metal.
  • the film may include any other film material as long as the second portion of the top surface of the semiconductor wafer can be vertically etched and thus removed from tlie film deposited on the vertical sidewall of the step, the reasons for which will be noted in further detail below.
  • the film may be uniformly deposited by thermal oxidation, by chemical vapor deposition, or any other process capable of uniformly depositing the film across the horizontal face and the vertical sidewall of the step.
  • a width of the film deposited on the surface of the step may be controlled.
  • the film deposition process that is used may be controlled to deposit the film with a desired, and uniform, thickness.
  • the second portion of the top surface of the semiconductor wafer is vertically etched to expose, as a feature of the semiconductor wafer, the film deposited across the vertical sidewall of the step.
  • vertically etching the second portion of the top surface of the semiconductor wafer may include partially removing, in a vertical direction, the second portion of the top surface of the semiconductor wafer.
  • vertically etching the second portion of the top surface of the semiconductor wafer may include fully removing, in a vertical direction, the second portion of the top surface of the semiconductor wafer.
  • the feature may be characterized by the width of the film deposited across the vertical sidewall of the step.
  • a controlled dimension of the feature namely the width of the feature, may be provided by controlling the film deposition and etching processes described above.
  • a plurality of features of the semiconductor wafer may be formed by repeating the method 200 for different locations of the top surface of the semiconductor wafer.
  • the method 200 may also be performed simultaneously in the multiple different locations of the top surface of the semiconductor wafer.
  • These features may vary in width, height, and shape, in a controlled manner, by controlling the film deposition and etching process to fabricate each feature.
  • the method 200 may provide a well characterized and repeatable dimensional standard for semiconductor wafer features. This may allow these features to be used in the calibration of metrology tools that measure features of less than 100 nm as in the measurement of critical dimensions (CD) in the semiconductor industry, such as Critical Dimension Atomic Force Microscopy (CD-AFM) tools and Critical Dimension Scanning Electron Microscope (CD-SEM) tools. This may also allow these features to be used for measurement matching across different metrology tools, such as the tool matching method for SEMs disclosed in U.S. Patent No. 8,003,940.
  • CD critical dimensions
  • CD-AFM Critical Dimension Atomic Force Microscopy
  • CD-SEM Critical Dimension Scanning Electron Microscope
  • the method 200 may provide these controlled features with a simpler film stack than those from the prior art, by eliminating the need for wafer bonding, die polishing, or orienting and mounting to other substrates.
  • the method 200 may further provide the ability to fabricate semiconductor wafer features of a variety of shapes and sizes, and using different film materials, as desired.
  • Figure 3A illustrates a top surface of a semiconductor wafer, in accordance with an embodiment.
  • the semiconductor wafer includes a hard mask 302 deposited on a silicon substrate 304.
  • the hard mask 302 may be silicon nitride and the silicon substrate may be (110) silicon. It should be noted that the hard mask 302 may be deposited and patterned across an entire surface of the silicon substrate 304 or a partial surface of the silicon substrate 304, in different applications.
  • Figure 3B illustrates the vertical etching of a first portion of the top surface of the semiconductor wafer of Figure 3 A to form a step down from a second portion of the top surface of the semiconductor wafer, in accordance with an embodiment.
  • the step is comprised of a vertical sidewall (with a height equal to a depth of the vertical etching) and horizontal face (with a length equal to a length of the first portion of the top surface of the semiconductor wafer), as shown.
  • the vertical etching can be performed using wet etching, such as by a wet anisotropic process (e.g. KOH) that is highly uniform and that results in straight lines and the vertical sidewall on (110) silicon wafers.
  • the vertical etching can be performed using dry etching, such as by a reactive ion etch process (e.g. the Bosch process), which can vary the shape (in a controlled manner) of the resulting step.
  • a reactive ion etch process e.g. the Bosch process
  • chemical mechanical polishing of the step may be performed, in the context of Figure 3B. This may sharpen the angle of the step, to enable a sharper resulting feature, as described in more detail below.
  • FIG. 3C illustrates the uniform deposition of a film across a horizontal face and a vertical sidewall of the step of Figure 3B, in accordance with an embodiment.
  • a film 306 such as thermal silicon oxide
  • Other film materials may also be used, other than thermal silicon oxide, such as vapor grown metals, as long as the second portion of the top surface of the semiconductor wafer can be etched from the film 306 (as described below with reference to Figure 3D).
  • Use of vapor grown metals may be beneficial for creating the semiconductor wafer feature since a feature of this material may provide greater imaging contrast in certain inspection tools.
  • the uniform deposition of the film 306 results in a consistent thickness (t) of the film 306 over the horizontal face and the vertical sidewall of the step.
  • CMP chemical mechanical planarization
  • Figure 3D illustrates the vertical etching of the second portion of the top surface of the semiconductor wafer of Figure 3C to expose, as a feature of the semiconductor wafer, the film deposited across the vertical sidewall of the step, in accordance with an embodiment.
  • the vertical etching may be performed on the second portion of the top surface of the semiconductor wafer (indicated in Figure 3B), including vertically through the hard mask 302 and a part of, the silicon substrate 304.
  • the film 306 deposited across the vertical sidewall of the step is freed in part from the sidewall, and forms a vertical feature of the semiconductor wafer characterized by a width (t) of the film 306 and a height (h) corresponding to the depth of the vertical etching shown.
  • Figure 3E illustrates a 3-dimensional view of the feature of Figure 3D, in accordance with an embodiment.
  • the feature resulting from the fabrication process described with reference to Figures 3A-D is characterized by width (t) and height (h), and is formed from the film 306 material deposited on the silicon substrate 302.
  • the semiconductor wafer feature can be used on the existing silicon substrate 302 after characterization with elipsometry (if transparent). It can also be diced and mounted on other substrates (wafers or masks) as a cost reduction measure (one processed wafer could result in thousands of usable features). Through elipsometry and/or cross-sectional transmission electron microscopy (TEM), the thickness of the film 306, and resulting width of the semiconductor wafer feature can be characterized to a traceable standard, such as the atomic lattice of single crystal silicon or the He-Ne laser wavelength. The feature can then be used for tool matching or calibration of CD-AFMs or CD-SEMs.
  • TEM transmission electron microscopy
  • Figure 4 illustrates a system 400 for fabricating semiconductor wafer features with controlled dimensions, in accordance with an embodiment.
  • the system 400 may be implemented to carry out the method 200 of Figure 2 and/or the process described above with reference to Figures 3 A-3E. It should be noted that the system 400 is not limited to the components shown, but may include additional components as is understood in the relevant art. Further, the components of the system 400 are hardware components configured for fabricating semiconductor wafer features with controlled dimensions.
  • the system 400 includes an etching component 402.
  • the etching component vertically etches a first portion of a top surface of a semiconductor wafer to form a step down from a second portion of the top surface of the semiconductor wafer, where the step is comprised of a horizontal face and a vertical sidewall (see operation 204 of Figure 2 and/or Figure 3B).
  • the system 400 also includes a film deposition component 404 that uniformly deposits a film across the horizontal face and the vertical sidewall of the step (see operation 206 of Figure 2 and/or Figure 3C).
  • the etching component 402 further vertically etches the second portion of the top surface of the semiconductor wafer to expose, as a feature of the semiconductor wafer, the film deposited across the vertical sidewall of the step (see operation 208 and/or Figure 3D).

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Automation & Control Theory (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

A system and method are provided for fabricating semiconductor wafer features with controlled dimensions. In use, a top surface of a semiconductor wafer is identified. A first portion of the top surface of the semiconductor wafer is then vertically etched to form a step down from a second portion of the top surface of the semiconductor wafer, the step comprised of a horizontal face and a vertical sidewall. Additionally, a film is uniformly deposited across the horizontal face and the vertical sidewall of the step. Further, the second portion of the top surface of the semiconductor wafer is vertically etched to expose, as a feature of the semiconductor wafer, the film deposited across the vertical sidewall of the step.

Description

SYSTEM AND METHOD FOR FABRICATING SEMICONDUCTOR WAFER FEATURES HAVING CONTROLLED DIMENSIONS
RELATED APPLICATIONS
[0001] This application claims the benefit of U.S. Provisional Patent Application No. 62/728,664, filed September 7, 2018, the entire contents of which are incorporated herein by reference.
FIELD OF THE INVENTION
[0002] The present invention relates to fabrication of semiconductor wafers, and more particularly to processes for fabricating features of semiconductor wafers for use as dimensional standards.
BACKGROUND
[0003] Existing processes for fabricating semiconductor wafers involve fabricating the features of semiconductor wafers in accordance with defined dimensions. In order to produce semiconductor wafers that function as expected, it is desirable for the actual feature dimensions on the fabricated semiconductor wafer to align as close as possible to the defined dimensions. Further, in some specific applications, semiconductor wafers are fabricated for use in calibration, or matching, of metrology tools, which requires the actual feature dimensions on these fabricated semiconductor wafers be within an allowable tolerance from the defined dimensions. There is thus a need for semiconductor wafer fabrication processes that provide wafer features having controlled dimensions.
[0004] One existing fabrication process made by VSLI Standards, Inc. Standards consists of a film stack of precisely controlled thicknesses (in the z dimension) that is diced out of a wafer and then mounted on its edge in order for the controlled z dimension to translate in an x/y dimension. The advantage of such processes is that it is easier to grow films of uniform and controlled thickness rather than to create uniform features in the x/y dimension using lithography. However, the disadvantage to this existing process is that it requires expensive and time-consuming bonding, dicing, polishing, and then etching processes, and even further the dice require remounting to another substrate with attention to orienting the features perpendicularly.
[00051 There is thus a need for addressing these and/or other issues associated with the prior art.
SUMMARY
[0006) A system and method are provided for fabricating semiconductor wafer features with controlled dimensions. In use, a top surface of a semiconductor wafer is identified. A first portion of the top surface of the semiconductor wafer is then vertically etched to form a step down from a second portion of the top surface of the semiconductor wafer, the step comprised of a horizontal face and a vertical sidewall. Additionally, a film is uniformly deposited across the horizontal face and the vertical sidewall of the step. Further, the second portion of the top surface of the semiconductor wafer is vertically etched to expose, as a feature of the semiconductor wafer, the film deposited across the vertical sidewall of the step.
BRIEF DESCRIPTION OF THE DRAWINGS
[00071 Figure 1A shows a block diagram illustrating one embodiment of a non-transitory computer-readable medium that includes program instructions executable on a computer system for performing one or more of the computer-implemented methods described herein.
[0008) Figure IB is a schematic diagram illustrating a side view of one embodiment of an inspection system configured to detect defects on a fabricated device.
[00091 Figure 2 shows a method for fabricating semiconductor wafer features with controlled dimensions, in accordance with an embodiment.
[0010i Figure 3A illustrates a top surface of a semiconductor wafer, in accordance with an embodiment. [0011] Figure 3B illustrates the vertical etching of a first portion of the top surface of the semiconductor wafer of Figure 3A to form a step down from a second portion of the top surface of the semiconductor wafer, in accordance with an embodiment
[0012] Figure 3C illustrates the uniform deposition of a film across a horizontal face and a vertical sidewall of the step of Figure 3B, in accordance with an embodiment.
[0013] Figure 3D illustrates the vertical etching of the second portion of the top surface of the semiconductor wafer of Figure 3C to expose, as a feature of the semiconductor wafer, the film deposited across the vertical sidewall of the step, in accordance with an embodiment.
[0014] Figure 3E illustrates a 3-dimensional view of the feature of Figure 3D, in accordance with an embodiment.
[0015] Figure 4 illustrates a system for fabricating semiconductor wafer features with controlled dimensions, in accordance with an embodiment.
DETAILED DESCRIPTION
[0016) The following description discloses a system and method for fabricating semiconductor wafer features with controlled dimensions. Once the wafer is fabricated, it may be inspected for various purposes using an inspection system, such as to detect defects, calibrate the inspection (e.g. metrology) system, or to perform measurement matching between different inspection (e.g. metrology) systems. Figures 1A-1B describe various embodiments of an inspection system.
[0017) As shown in Figure 1A, computer-readable medium 100 includes program instructions 102 executable on computer system 104. The program instructions 102 may be executed for the various purposes noted above, such as to detect defects, calibrate the inspection (e.g. metrology) system, or to perform measurement matching between different inspection (e.g. metrology) systems.
[0018] Program instructions 102 may be stored on computer-readable medium 100. The computer-readable medium may be a storage medium such as a magnetic or optical disk, or a magnetic tape or any other suitable non-transitory computer-readable medium known in the ait. As an option, computer-readable medium 100 may be located within computer system 104.
[0019) The program instructions may be implemented in any of various ways, including procedure-based techniques, component-based techniques, and/or object-oriented techniques, among others. For example, the program instructions may be implemented using ActiveX controls, C++ objects, JavaBeans, Microsoft Foundation Classes (“MFC”), or other technologies or methodologies, as desired.
[0020) The computer system 104 may take various forms, including a personal computer system, image computer, mainframe computer system, workstation, network appliance, Internet appliance, or other device. In general, the term“computer system” may be broadly defined to encompass any device having one or more processors, which executes instructions from a memory medium. The computer system 104 may also include any suitable processor known in the art such as a parallel processor. In addition, the computer system 104 may include a computer platform with high speed processing and software, either as a standalone or a networked tool.
[0021] In one embodiment, the computer system 104 may be a subsystem of a larger system that also includes an inspection system 105, as shown in Figure IB. The system includes inspection system 105 configured to generate output for a feature fabricated on a wafer (or other device), which is configured in this embodiment as described further herein. The system also includes one or more computer systems. The one or more computer systems may be configured to perform the operations described above. The computer system(s) and the system may also be configured to perform any other operations described herein and may be further configured as described herein.
[0022) In the embodiment shown in Figure 1B, one of the computer systems is part of an electronic design automation (EDA) tool, and the inspection system and another of the computer systems are not part of the EDA tool. These computer systems may include, for example, the computer system 104 described above with reference to Figure 1A. For example, as shown in Figure 1B, one of the computer systems may be computer system 108 included in EDA tool 106. The EDA tool 106 and the computer system 108 included in such a tool may include any commercially available EDA tool.
[0023) The inspection system 105 may be configured to generate the output for the feature on a wafer by scanning the wafer with light and detecting light from the wafer during the scanning. For example, as shown in Figure 1B, the inspection system 105 includes light source 120, which may include any suitable light source known in the art. Light from the light source may be directed to beam splitter 118, which may be configured to direct the light from the tight source to wafer 122. The light source 120 may be coupled to any other suitable elements (not shown) such as one or more condensing lenses, collimating lenses, relay lenses, objective lenses, apertures, spectral filters, polarizing components and the like. As shown in Figure IB, the light may be directed to the wafer 122 at a normal angle of incidence. However, the light may be directed to the wafer 122 at any suitable angle of incidence including near nonnal and oblique incidence. In addition, the light or multiple light beams may be directed to the wafer 122 at more than one angle of incidence sequentially or simultaneously. The inspection system 105 may be configured to scan the light over the wafer 122 in any suitable manner.
[0024] Light from wafer 122 may be collected and detected by one or more channels of the inspection system 105 during scanning. For example, light reflected from wafer 122 at angles relatively close to normal (i.e., specular reflected light when the incidence is normal) may pass through beam splitter 118 to lens 114. Lens 114 may include a refractive optical element as shown in Figure IB. In addition, lens 114 may include one or more refractive optical elements and/or one or more reflective optical elements. Light collected by lens 114 may be focused to detector 112. Detector 1 12 may include any suitable detector known in the art such as a charge coupled device (CCD) or another type of imaging detector. Detector 112 is configured to generate output that is responsive to the reflected light collected by lens 1 14. Therefore, lens 114 and detector 112 form one channel of the inspection system 105. This channel of the inspection system 105 may include any other suitable optical components (not shown) known in the art. [0025] Since the inspection system shown in Figure IB is configured to detect light specular reflected from the wafer 122, the inspection system 105 is configured as a (bright field) BF inspection system. Such an inspection system 105 may, however, also be configured for other types of wafer inspection. For example, the inspection system shown in Figure IB may also include one or more other channels (not shown). The other channel(s) may include any of the optical components described herein such as a lens and a detector, configured as a scattered light channel. The lens and the detector may be further configured as described herein. In this manner, the inspection system 105 may also be configured for (dark field) DF inspection.
[0026] The inspection system 105 may also include a computer system 110. For example, the optical elements described above may form optical subsystem 111 of inspection subsystem 105, which may also include computer system 110 that is coupled to the optical subsystem 111. In this manner, output generated by the detector(s) during scanning may be provided to computer system 110. For example, the computer system 110 may be coupled to detector 112 (e.g., by one or more transmission media shown by the dashed line in Figure 1B, which may include any suitable transmission media known in the art) such that the computer system 110 may receive the output generated by the detector.
[0027] The computer system 110 of the inspection system 105 may be configured to perform any of the operations described above. For example, computer system 110 may be configured for systematic and stochastic characterization of pattern defects identified from the wafer, or for measuring features of the wafer. In addition, the one or more of the computer system(s) may be configured as a virtual inspector such as that described in U.S. Pat. No. 8,126,255 issued on Feb. 28, 2012 to Bhaskar et al., which is incorporated by reference as if fully set forth herein.
[0028] The computer system 110 of the inspection system 105 may also be coupled to another computer system that is not part of the inspection system such as computer system 108, which may be included in another tool such as the EDA tool 106 described above such that computer system 110 can receive output generated by computer system 108, which may include a design generated by that computer system 108. For example, the two computer systems may be effectively coupled by a shared computer-readable storage medium such as a fab database or may be coupled by a transmission medium such as that described above such that information may be transmitted between the two computer systems.
[0029) It is noted that Figure IB is provided herein to generally illustrate a configuration of an inspection system that may be utilized as described herein. Obviously, the inspection system configuration described herein may be altered to optimize the performance of the inspection system as is normally performed when designing a commercial inspection system. In addition, the systems described herein may be implemented using an existing inspection system (e.g., by adding functionality described herein to an existing inspection system) such as the 29xx/28xx series of tools that are commercially available from KLA-Tencor. For some such systems, the methods described herein may be provided as optional functionality of the system (e.g., in addition to other functionality of the system). Alternatively, the system described herein may be designed“from scratch” to provide a completely new system.
10030) In a further embodiment, the inspection system 105 may be directly or indirectly coupled to a review system (not shown), such as the SEM review system disclosed in U.S. Patent No. 9,293,298. The SEM review system may be operable to review defects detected by the inspection system 105 for classification of the defects, which in turn can be used to train the inspection system 105 for better defect detection.
[0031] Figure 2 shows a method 200 for fabricating semiconductor wafer features with controlled dimensions, in accordance with an embodiment. The method 200 may be carried out by any system having hardware components configured for fabricating semiconductor wafer features in the manner described. For example, the method 200 may be carried out by the system 400 described below with reference to Figure 4.
[0032) As shown in operation 202, a top surface of a semiconductor wafer is identified. The semiconductor wafer may be any wafer comprised of semiconductor material. Accordingly, the top surface of the semiconductor wafer may be a substrate of semiconductor material.
[0033] For example, in one embodiment, the semiconductor wafer may be a silicon wafer (i.e. comprised of silicon material). In this embodiment, the top surface of the semiconductor wafer may be a silicon substrate, such as (110) silicon. In another embodiment, the top surface of the semiconductor wafer may be a hard mask deposited on the substrate of the semiconductor wafer. In this embodiment, the hard mask may be silicon nitride.
[0034] As shown in operation 204, a first portion of the top surface of the semiconductor wafer is vertically etched to form a step down from a second portion of the top surface of the semiconductor wafer. As a result of the vertical etching, the step comprises a horizontal face (at a lower height than the second portion of the top surface of the semiconductor wafer) and a vertical sidewall (extending from the second portion of the top surface of the semiconductor wafer to the horizontal face). The vertical etching may include wet etching or dry etching.
[0035] In the embodiment described above where the top surface of the semiconductor wafer is a hard mask deposited on the substrate of the semiconductor wafer, vertically etching the first portion of the top surface of the semiconductor wafer may include vertically etching through a first portion of the hard mask and a first portion of the substrate (silicon) of the semiconductor wafer on which the first portion of the hard mask is deposited. In any case, it should be noted that the first portion of the top surface of the semiconductor wafer may be vertically etched to any desired depth.
[0036] Additionally, as shown in operation 206, a film is uniformly deposited across the horizontal face and the vertical sidewall of the step. The film may include thermal silicon oxide, for example. As another example, the film may include a vapor grown metal. Of course, however, the film may include any other film material as long as the second portion of the top surface of the semiconductor wafer can be vertically etched and thus removed from tlie film deposited on the vertical sidewall of the step, the reasons for which will be noted in further detail below.
[0037] To this end, in various embodiments, the film may be uniformly deposited by thermal oxidation, by chemical vapor deposition, or any other process capable of uniformly depositing the film across the horizontal face and the vertical sidewall of the step. By uniformly depositing the film across the horizontal face and the vertical sidewall of the step, a width of the film deposited on the surface of the step may be controlled. For example, the film deposition process that is used may be controlled to deposit the film with a desired, and uniform, thickness.
[0038] Further, as shown in operation 208, the second portion of the top surface of the semiconductor wafer is vertically etched to expose, as a feature of the semiconductor wafer, the film deposited across the vertical sidewall of the step. In one embodiment, vertically etching the second portion of the top surface of the semiconductor wafer may include partially removing, in a vertical direction, the second portion of the top surface of the semiconductor wafer. In another embodiment, vertically etching the second portion of the top surface of the semiconductor wafer may include fully removing, in a vertical direction, the second portion of the top surface of the semiconductor wafer. By vertically etching the second portion of the top surface of the semiconductor wafer to expose the film deposited across the vertical sidewall of the step as a feature, a height of the feature may be controlled.
[0039] Accordingly, the feature may be characterized by the width of the film deposited across the vertical sidewall of the step. In this way, a controlled dimension of the feature, namely the width of the feature, may be provided by controlling the film deposition and etching processes described above.
[0040] It should be noted that a plurality of features of the semiconductor wafer may be formed by repeating the method 200 for different locations of the top surface of the semiconductor wafer. The method 200 may also be performed simultaneously in the multiple different locations of the top surface of the semiconductor wafer. These features may vary in width, height, and shape, in a controlled manner, by controlling the film deposition and etching process to fabricate each feature.
[0041] In the manner described above, the method 200 may provide a well characterized and repeatable dimensional standard for semiconductor wafer features. This may allow these features to be used in the calibration of metrology tools that measure features of less than 100 nm as in the measurement of critical dimensions (CD) in the semiconductor industry, such as Critical Dimension Atomic Force Microscopy (CD-AFM) tools and Critical Dimension Scanning Electron Microscope (CD-SEM) tools. This may also allow these features to be used for measurement matching across different metrology tools, such as the tool matching method for SEMs disclosed in U.S. Patent No. 8,003,940.
[0042] In addition, the method 200 may provide these controlled features with a simpler film stack than those from the prior art, by eliminating the need for wafer bonding, die polishing, or orienting and mounting to other substrates. The method 200 may further provide the ability to fabricate semiconductor wafer features of a variety of shapes and sizes, and using different film materials, as desired.
[0043] More illustrative information will now be set forth regarding various optional architectures and uses in which the foregoing method may or may not be implemented, per the desires of the user. It should be noted that the following information is set forth for illustrative purposes and should not be construed as limiting in any manner. Any of the following features may be optionally incorporated with or without the exclusion of other features described.
[0044] Figure 3A illustrates a top surface of a semiconductor wafer, in accordance with an embodiment. As shown, the semiconductor wafer includes a hard mask 302 deposited on a silicon substrate 304. The hard mask 302 may be silicon nitride and the silicon substrate may be (110) silicon. It should be noted that the hard mask 302 may be deposited and patterned across an entire surface of the silicon substrate 304 or a partial surface of the silicon substrate 304, in different applications. [0045] Figure 3B illustrates the vertical etching of a first portion of the top surface of the semiconductor wafer of Figure 3 A to form a step down from a second portion of the top surface of the semiconductor wafer, in accordance with an embodiment. The step is comprised of a vertical sidewall (with a height equal to a depth of the vertical etching) and horizontal face (with a length equal to a length of the first portion of the top surface of the semiconductor wafer), as shown. The vertical etching can be performed using wet etching, such as by a wet anisotropic process (e.g. KOH) that is highly uniform and that results in straight lines and the vertical sidewall on (110) silicon wafers. As another option, the vertical etching can be performed using dry etching, such as by a reactive ion etch process (e.g. the Bosch process), which can vary the shape (in a controlled manner) of the resulting step.
[0046] As an option, chemical mechanical polishing of the step may be performed, in the context of Figure 3B. This may sharpen the angle of the step, to enable a sharper resulting feature, as described in more detail below.
[0047] Figure 3C illustrates the uniform deposition of a film across a horizontal face and a vertical sidewall of the step of Figure 3B, in accordance with an embodiment. As shown, a film 306, such as thermal silicon oxide, is deposited (grown) uniformly across the horizontal face and the vertical sidewall of the step. Other film materials may also be used, other than thermal silicon oxide, such as vapor grown metals, as long as the second portion of the top surface of the semiconductor wafer can be etched from the film 306 (as described below with reference to Figure 3D). Use of vapor grown metals may be beneficial for creating the semiconductor wafer feature since a feature of this material may provide greater imaging contrast in certain inspection tools. In any case, the uniform deposition of the film 306 results in a consistent thickness (t) of the film 306 over the horizontal face and the vertical sidewall of the step.
[0048] As an option, after uniformly depositing the film 306, chemical mechanical planarization (CMP) may be performed on the second portion of the top surface of the semiconductor wafer. This may remove the hard mask 302 and reduce the step height. Importantly, this may remove interfacial non-stochiometric compositions at the junction of the silicon substrate 304, hard mask 302, and film 306 that may not otherwise etch into a clean feature via the vertical etching described in Figure 3D.
[0049] Figure 3D illustrates the vertical etching of the second portion of the top surface of the semiconductor wafer of Figure 3C to expose, as a feature of the semiconductor wafer, the film deposited across the vertical sidewall of the step, in accordance with an embodiment. In one embodiment, the vertical etching may be performed on the second portion of the top surface of the semiconductor wafer (indicated in Figure 3B), including vertically through the hard mask 302 and a part of, the silicon substrate 304. As a result, the film 306 deposited across the vertical sidewall of the step is freed in part from the sidewall, and forms a vertical feature of the semiconductor wafer characterized by a width (t) of the film 306 and a height (h) corresponding to the depth of the vertical etching shown.
[0050] Figure 3E illustrates a 3-dimensional view of the feature of Figure 3D, in accordance with an embodiment. As shown, the feature resulting from the fabrication process described with reference to Figures 3A-D is characterized by width (t) and height (h), and is formed from the film 306 material deposited on the silicon substrate 302.
[0051] The semiconductor wafer feature can be used on the existing silicon substrate 302 after characterization with elipsometry (if transparent). It can also be diced and mounted on other substrates (wafers or masks) as a cost reduction measure (one processed wafer could result in thousands of usable features). Through elipsometry and/or cross-sectional transmission electron microscopy (TEM), the thickness of the film 306, and resulting width of the semiconductor wafer feature can be characterized to a traceable standard, such as the atomic lattice of single crystal silicon or the He-Ne laser wavelength. The feature can then be used for tool matching or calibration of CD-AFMs or CD-SEMs.
[0052] Figure 4 illustrates a system 400 for fabricating semiconductor wafer features with controlled dimensions, in accordance with an embodiment. The system 400 may be implemented to carry out the method 200 of Figure 2 and/or the process described above with reference to Figures 3 A-3E. It should be noted that the system 400 is not limited to the components shown, but may include additional components as is understood in the relevant art. Further, the components of the system 400 are hardware components configured for fabricating semiconductor wafer features with controlled dimensions.
[00531 As shown, the system 400 includes an etching component 402. The etching component vertically etches a first portion of a top surface of a semiconductor wafer to form a step down from a second portion of the top surface of the semiconductor wafer, where the step is comprised of a horizontal face and a vertical sidewall (see operation 204 of Figure 2 and/or Figure 3B). The system 400 also includes a film deposition component 404 that uniformly deposits a film across the horizontal face and the vertical sidewall of the step (see operation 206 of Figure 2 and/or Figure 3C). The etching component 402 further vertically etches the second portion of the top surface of the semiconductor wafer to expose, as a feature of the semiconductor wafer, the film deposited across the vertical sidewall of the step (see operation 208 and/or Figure 3D).
[0054] While various embodiments have been described above, it should be understood that they have been presented by way of example only, and not limitation. Thus, the breadth and scope of a preferred embodiment should not be limited by any of the above-described exemplary embodiments, but should be defined only in accordance with the following claims and their equivalents.

Claims

CLAIMS What is claimed is:
1. A method, comprising :
identifying a top surface of a semiconductor wafer;
vertically etching a first portion of the top surface of the semiconductor wafer to form a step down from a second portion of the top surface of the semiconductor wafer, the step comprised of a horizontal face and a vertical sidewall;
uniformly depositing a film across the horizontal face and the vertical sidewall of the step;
vertically etching the second portion of the top surface of the semiconductor wafer to expose, as a feature of the semiconductor wafer, the film deposited across the vertical sidewall of the step.
2. The method of claim 1, wherein the semiconductor wafer is a silicon wafer.
3. The method of claim 1, wherein the top surface of the semiconductor wafer is a hard mask deposited on a substrate of the semiconductor wafer.
4. The method of claim 3, wherein vertically etching the first portion of the top surface of the semiconductor wafer includes vertically etching through a first portion of the hard mask and a first portion of the substrate of the semiconductor wafer on which the first portion of the hard mask is deposited.
5. The method of claim 3, wherein the substrate of the semiconductor wafer is a silicon substrate.
6. The method of claim 3, wherein the hard mask is silicon nitride.
7. The method of claim 1, wherein the first portion of the top surface of the semiconductor wafer is vertically etched using wet etching.
8. The method of claim 1, wherein the first portion of the top surface of the semiconductor wafer is vertically etched using dry etching.
9. The method of claim 1 , further comprising:
performing chemical mechanical polishing of the step prior to uniformly depositing the film across the horizontal face and the vertical sidewall of the step.
10. The method of claim 1, wherein the film is uniformly deposited with a controlled width across the vertical sidewall of the step.
11. The method of claim 10, wherein the film is uniformly deposited with the controlled width across the vertical sidewall of the step by thermal oxidation.
12. The method of claim 10, wherein the film is uniformly deposited with the controlled width across the vertical sidewall of the step by chemical vapor deposition.
13. The method of claim 1, wherein the feature is characterized by a width of the film deposited across the vertical sidewall of the step.
14. The method of claim 1, wherein the feature is characterized by a depth of the vertical etching of the second portion of the top surface of the semiconductor wafer.
15. The method of claim 1, wherein vertically etching the second portion of the top surface of the semiconductor wafer includes partially removing, in a vertical direction, the second portion of the top surface of the semiconductor wafer.
16. The method of claim 1, wherein vertically etching the second portion of the top surface of the semiconductor wafer includes fully removing, in a vertical direction, the second portion of the top surface of the semiconductor wafer.
17. The method of claim 1, further comprising:
after uniformly depositing the film across the horizontal face and the vertical sidewall of the step, and prior to vertically etching the the second portion of the top surface of the semiconductor wafer, performing chemical mechanical planarization (CMP) on the second portion of the top surface of the semiconductor wafer to reduce a height of the step.
18. The method of claim 1, wherein the feature is utilized for calibration of a metrology tool.
19. The method of claim 1, wherein the feature is utilized for measurement matching among a plurality of metrology tools.
20. The method of claim 1, wherein a plurality of features of the semiconductor wafer are formed by repeating, for different locations of the top surface of the semiconductor wafer, the vertical etching of the first portion of the top surface of the semiconductor wafer, the uniform depositing of the film, and the vertical etching of the second portion of the top surface of the semiconductor wafer.
21. A system, comprising:
an etching component that:
vertically etches a first portion of a top surface of a semiconductor wafer to form a step down from a second portion of the top surface of the semiconductor wafer, the step comprised of a horizontal face and a vertical sidewall; and
a film deposition component that:
uniformly deposits a film across the horizontal face and the vertical sidewall of the step;
wherein the etching component further;
vertically etches the second portion of the top surface of the semiconductor wafer to expose, as a feature of the semiconductor wafer, the film deposited across the vertical sidewall of the step.
PCT/US2019/049611 2018-09-07 2019-09-05 System and method for fabricating semiconductor wafer features having controlled dimensions WO2020051258A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN201980060767.4A CN112714947B (en) 2018-09-07 2019-09-05 System and method for fabricating semiconductor wafer features having controlled dimensions
EP19858578.8A EP3847688A4 (en) 2018-09-07 2019-09-05 System and method for fabricating semiconductor wafer features having controlled dimensions
JP2021512670A JP7232901B2 (en) 2018-09-07 2019-09-05 Method for fabricating semiconductor wafer features
KR1020217010195A KR102550487B1 (en) 2018-09-07 2019-09-05 Systems and methods for manufacturing semiconductor wafer features with controlled dimensions

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862728664P 2018-09-07 2018-09-07
US62/728,664 2018-09-07
US16/184,898 US10796969B2 (en) 2018-09-07 2018-11-08 System and method for fabricating semiconductor wafer features having controlled dimensions
US16/184,898 2018-11-08

Publications (1)

Publication Number Publication Date
WO2020051258A1 true WO2020051258A1 (en) 2020-03-12

Family

ID=69720071

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2019/049611 WO2020051258A1 (en) 2018-09-07 2019-09-05 System and method for fabricating semiconductor wafer features having controlled dimensions

Country Status (7)

Country Link
US (1) US10796969B2 (en)
EP (1) EP3847688A4 (en)
JP (1) JP7232901B2 (en)
KR (1) KR102550487B1 (en)
CN (1) CN112714947B (en)
TW (1) TWI797351B (en)
WO (1) WO2020051258A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11385187B1 (en) 2020-03-19 2022-07-12 Kla Corporation Method of fabricating particle size standards on substrates

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060281266A1 (en) * 2005-06-09 2006-12-14 Wells David H Method and apparatus for adjusting feature size and position
KR20100137212A (en) * 2009-06-22 2010-12-30 주식회사 하이닉스반도체 Semiconductor device and method of fabricating the same
US8003940B2 (en) 2005-10-27 2011-08-23 Hitachi High-Technologies Corporation Tool-to-tool matching control method and its system for scanning electron microscope
US8126255B2 (en) 2007-09-20 2012-02-28 Kla-Tencor Corp. Systems and methods for creating persistent data for a wafer and for using persistent data for inspection-related functions
US20120181665A1 (en) 2011-01-19 2012-07-19 International Business Machines Corporation Structure and method for hard mask removal on an soi substrate without using cmp process
KR20140131681A (en) * 2013-05-06 2014-11-14 코닝정밀소재 주식회사 Substrate for power device, method of fabricating thereof and power device including the same
US20140346612A1 (en) * 2013-05-23 2014-11-27 International Business Machines Corporation Bulk semiconductor fins with self-aligned shallow trench isolation structures
US9293298B2 (en) 2013-12-23 2016-03-22 Kla-Tencor Corp. Defect discovery and inspection sensitivity optimization using automated classification of corresponding electron beam images
US20170373161A1 (en) 2016-06-28 2017-12-28 Globalfoundries Inc. Method of forming a gate contact structure and source/drain contact structure for a semiconductor device

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6339000B1 (en) * 1998-09-25 2002-01-15 Conexant Systems, Inc. Method for fabricating interpoly dielectrics in non-volatile stacked-gate memory structures
JP2002118083A (en) * 2000-10-05 2002-04-19 Hitachi Ltd Method of manufacturing semiconductor integrated circuit device
US6602759B2 (en) * 2000-12-07 2003-08-05 International Business Machines Corporation Shallow trench isolation for thin silicon/silicon-on-insulator substrates by utilizing polysilicon
KR20020071063A (en) * 2001-03-02 2002-09-12 삼성전자 주식회사 Dent free trench isolation structure and method for fabricating the same
JP3597495B2 (en) * 2001-08-31 2004-12-08 株式会社ルネサステクノロジ Semiconductor integrated circuit device
US7291886B2 (en) * 2004-06-21 2007-11-06 International Business Machines Corporation Hybrid substrate technology for high-mobility planar and multiple-gate MOSFETs
US7052921B1 (en) * 2004-09-03 2006-05-30 Advanced Micro Devices, Inc. System and method using in situ scatterometry to detect photoresist pattern integrity during the photolithography process
US8384148B2 (en) * 2004-12-22 2013-02-26 Micron Technology, Inc. Method of making a floating gate non-volatile MOS semiconductor memory device with improved capacitive coupling
US7008853B1 (en) * 2005-02-25 2006-03-07 Infineon Technologies, Ag Method and system for fabricating free-standing nanostructures
US7638381B2 (en) * 2005-10-07 2009-12-29 International Business Machines Corporation Methods for fabricating a semiconductor structure using a mandrel and semiconductor structures formed thereby
US7271063B2 (en) * 2005-10-13 2007-09-18 Elite Semiconductor Memory Technology, Inc. Method of forming FLASH cell array having reduced word line pitch
JP2007109966A (en) * 2005-10-14 2007-04-26 Matsushita Electric Ind Co Ltd Semiconductor device and its manufacturing method
US8129242B2 (en) * 2006-05-12 2012-03-06 Macronix International Co., Ltd. Method of manufacturing a memory device
JP4857090B2 (en) * 2006-11-27 2012-01-18 株式会社日立ハイテクノロジーズ Standard member for calibration, method for producing the same, and scanning electron microscope using the standard member for calibration
US8021563B2 (en) * 2007-03-23 2011-09-20 Alpha & Omega Semiconductor, Ltd Etch depth determination for SGT technology
US7824983B2 (en) 2008-06-02 2010-11-02 Micron Technology, Inc. Methods of providing electrical isolation in semiconductor structures
US8853091B2 (en) * 2009-01-16 2014-10-07 Microchip Technology Incorporated Method for manufacturing a semiconductor die with multiple depth shallow trench isolation
US8232179B2 (en) * 2009-10-01 2012-07-31 International Business Machines Corporation Method to improve wet etch budget in FEOL integration
JP5862492B2 (en) * 2012-07-09 2016-02-16 信越半導体株式会社 Semiconductor wafer evaluation method and manufacturing method
US9443731B1 (en) * 2015-02-20 2016-09-13 Tokyo Electron Limited Material processing to achieve sub-10nm patterning
US20170059758A1 (en) * 2015-08-24 2017-03-02 Moxtek, Inc. Small-Pitch Wire Grid Polarizer
US10483109B2 (en) * 2016-04-12 2019-11-19 Tokyo Electron Limited Self-aligned spacer formation
EP3312882B1 (en) * 2016-10-20 2021-09-15 IMEC vzw A method of patterning a target layer
US10832908B2 (en) * 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10431663B2 (en) * 2018-01-10 2019-10-01 Globalfoundries Inc. Method of forming integrated circuit with gate-all-around field effect transistor and the resulting structure

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060281266A1 (en) * 2005-06-09 2006-12-14 Wells David H Method and apparatus for adjusting feature size and position
US8003940B2 (en) 2005-10-27 2011-08-23 Hitachi High-Technologies Corporation Tool-to-tool matching control method and its system for scanning electron microscope
US8126255B2 (en) 2007-09-20 2012-02-28 Kla-Tencor Corp. Systems and methods for creating persistent data for a wafer and for using persistent data for inspection-related functions
KR20100137212A (en) * 2009-06-22 2010-12-30 주식회사 하이닉스반도체 Semiconductor device and method of fabricating the same
US20120181665A1 (en) 2011-01-19 2012-07-19 International Business Machines Corporation Structure and method for hard mask removal on an soi substrate without using cmp process
KR20140131681A (en) * 2013-05-06 2014-11-14 코닝정밀소재 주식회사 Substrate for power device, method of fabricating thereof and power device including the same
US20140346612A1 (en) * 2013-05-23 2014-11-27 International Business Machines Corporation Bulk semiconductor fins with self-aligned shallow trench isolation structures
US9293298B2 (en) 2013-12-23 2016-03-22 Kla-Tencor Corp. Defect discovery and inspection sensitivity optimization using automated classification of corresponding electron beam images
US20170373161A1 (en) 2016-06-28 2017-12-28 Globalfoundries Inc. Method of forming a gate contact structure and source/drain contact structure for a semiconductor device

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP3847688A4

Also Published As

Publication number Publication date
KR20210043000A (en) 2021-04-20
EP3847688A1 (en) 2021-07-14
JP2021536680A (en) 2021-12-27
TWI797351B (en) 2023-04-01
EP3847688A4 (en) 2022-06-15
KR102550487B1 (en) 2023-06-30
TW202016998A (en) 2020-05-01
JP7232901B2 (en) 2023-03-03
CN112714947A (en) 2021-04-27
US10796969B2 (en) 2020-10-06
CN112714947B (en) 2022-09-16
US20200083122A1 (en) 2020-03-12

Similar Documents

Publication Publication Date Title
US9581526B2 (en) Method for S/TEM sample analysis
US7747062B2 (en) Methods, defect review tools, and systems for locating a defect in a defect review process
US7538322B2 (en) Method of fabricating sample membranes for transmission electron microscopy analysis
JP6618380B2 (en) Pattern matching using slices of known shape for automated S / TEM acquisition and measurement
US8884247B2 (en) System and method for ex situ analysis of a substrate
JP2010507781A5 (en)
JP2010507782A5 (en)
US20180224749A1 (en) Three-Dimensional Calibration Structures and Methods for Measuring Buried Defects on a Three-Dimensional Semiconductor Wafer
US10796969B2 (en) System and method for fabricating semiconductor wafer features having controlled dimensions
US5443684A (en) Method for measuring thin film thickness
US11385187B1 (en) Method of fabricating particle size standards on substrates
EP3070731A1 (en) Pattern matching using a lamella of known shape for automated s/tem acquisition and metrology
US8384029B2 (en) Cross-section systems and methods
Yang Metrology and Inspection Equipment
WO2024102524A1 (en) Improved precision in stereoscopic measurements using a pre-deposition layer

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19858578

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2021512670

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20217010195

Country of ref document: KR

Kind code of ref document: A

ENP Entry into the national phase

Ref document number: 2019858578

Country of ref document: EP

Effective date: 20210407