WO2018136511A1 - Compositions and methods for removing ceria particles from a surface - Google Patents

Compositions and methods for removing ceria particles from a surface Download PDF

Info

Publication number
WO2018136511A1
WO2018136511A1 PCT/US2018/014049 US2018014049W WO2018136511A1 WO 2018136511 A1 WO2018136511 A1 WO 2018136511A1 US 2018014049 W US2018014049 W US 2018014049W WO 2018136511 A1 WO2018136511 A1 WO 2018136511A1
Authority
WO
WIPO (PCT)
Prior art keywords
removal composition
aqueous removal
acid
contaminants
microelectronic device
Prior art date
Application number
PCT/US2018/014049
Other languages
French (fr)
Inventor
Daniela White
Thomas PARSON
Michael White
Emanuel I. Cooper
Atanu Das
Original Assignee
Entegris, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Entegris, Inc. filed Critical Entegris, Inc.
Priority to CN201880007539.6A priority Critical patent/CN110234719A/en
Priority to KR1020247015873A priority patent/KR20240074891A/en
Priority to KR1020217021809A priority patent/KR20210090294A/en
Priority to KR1020197020532A priority patent/KR20190094426A/en
Priority to JP2019538379A priority patent/JP2020504460A/en
Publication of WO2018136511A1 publication Critical patent/WO2018136511A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0084Antioxidants; Free-radical scavengers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02065Cleaning during device manufacture during, before or after processing of insulating layers the processing being a planarization of insulating layers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L33/00Compositions of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides or nitriles thereof; Compositions of derivatives of such polymers
    • C08L33/04Homopolymers or copolymers of esters
    • C08L33/06Homopolymers or copolymers of esters of esters containing only carbon, hydrogen and oxygen, which oxygen atoms are present only as part of the carboxyl radical
    • C08L33/08Homopolymers or copolymers of acrylic acid esters
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L33/00Compositions of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides or nitriles thereof; Compositions of derivatives of such polymers
    • C08L33/04Homopolymers or copolymers of esters
    • C08L33/06Homopolymers or copolymers of esters of esters containing only carbon, hydrogen and oxygen, which oxygen atoms are present only as part of the carboxyl radical
    • C08L33/10Homopolymers or copolymers of methacrylic acid esters
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/06Other polishing compositions
    • C09G1/14Other polishing compositions based on non-waxy substances
    • C09G1/16Other polishing compositions based on non-waxy substances on natural or synthetic resins
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • C11D1/72Ethers of polyoxyalkylene glycols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0047Other compounding ingredients characterised by their effect pH regulated compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2086Hydroxy carboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L2201/00Properties
    • C08L2201/54Aqueous solutions or dispersions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/40Specific cleaning or washing processes

Definitions

  • the present invention relates generally to compositions for removing ceria particles and other chemical mechanical polishing slurry contaminants from microelectronic devices having same thereon.
  • Microelectronic device wafers are used to form integrated circuits.
  • the microelectronic device wafer includes a substrate, such as silicon, into which regions are patterned for deposition of different materials having insulative, conductive or semi -conductive properties.
  • CMP Chemical Mechanical Polishing or Planarization
  • a chemical process such as oxidation or chelation.
  • CMP involves applying an abrasive slurry having an active chemistry to a polishing pad that buffs the surface of a microelectronic device wafer during the removal, planarization, and polishing processes. Removal or polishing processes using purely physical or purely chemical action are not as effective as the synergistic combination of both in order to achieve fast, uniform removal.
  • the CMP slurry should also be able to preferentially remove films that comprise complex layers of metals and other materials so that highly planar surfaces can be produced for subsequent photolithography, or patterning, etching and thin-film processing.
  • a pad oxide film and a pad nitride film are deposited on a semiconductor substrate and patterned to expose portions of the substrate, which correspond to an isolation region. Then, the exposed regions of the substrate are etched to form a trench. Thereafter, the substrate is subjected to a sacrificial oxidation process to remove damage caused by the substrate etching followed by formation of a wall oxide film on the surface of the trench.
  • a sacrificial oxidation process to remove damage caused by the substrate etching followed by formation of a wall oxide film on the surface of the trench.
  • a trench-buried oxide film e.g., an oxide film formed by high density plasma chemical vapor deposition referred to as an HDP-oxide film
  • an HDP-oxide film is deposited on the surface of the substrate in such a manner as to be buried in the trench.
  • the surface of the HDP-oxide film is subjected to chemical mechanical polishing until the pad nitride film is exposed.
  • the resulting substrate is then cleaned and the pad nitride film which was used as an etch barrier during the trench etch is removed, completing the formation of an isolation region.
  • a CMP slurry using ceria particles achieves a faster polishing speed for an insulator, relative to a silica-containing slurry. Moreover, a ceria-based slurry is most often used because of the ability to achieve STI pattern planarization with minimal oxide erosion. Disadvantageous ⁇ , ceria- based slurries are difficult to remove from STI structures because of the oppositely charged zeta potentials of the ceria particles relative to the silicon oxide and silicon nitride surfaces. If a device is manufactured with these residues remaining on the wafer, the residues will lead to short circuits and an increase in electric resistance. Ceria particles are also a problem with FinFET structures following CMP processing using ceria slurries.
  • DHF dilute hydrofluoric acid
  • the ceria particle removal composition should also efficaciously remove CMP slurry contaminants from the surface of the microelectronic device.
  • the present invention generally relates to a removal composition and process, particularly useful for cleaning ceria particles and CMP contaminants from microelectronic devices having said particles and CMP contaminants thereon.
  • an aqueous removal composition comprising at least one pH adjusting agent, at least one reducing agent, at least one organic additive, water, optionally at least one complexing agent, and optionally at least one oxygen scavenger.
  • a method of removing ceria particles and CMP contaminants from a microelectronic device having said particles and contaminants thereon comprising contacting the microelectronic device with a removal composition for sufficient time to at least partially clean said particles and contaminants from the microelectronic device, wherein said removal composition comprises at least one pH adjusting agent, at least one reducing agent, at least one organic additive, water, optionally at least one complexing agent, and optionally at least one oxygen scavenger.
  • an article of manufacture comprising an aqueous removal composition, a microelectronic device wafer, and material selected from the group consisting of ceria particles, CMP contaminants and combinations thereof, wherein the cleaning composition comprises at least one pH adjusting agent, at least one reducing agent, at least one organic additive, water, optionally at least one complexing agent, and optionally at least one oxygen scavenger.
  • the present invention relates generally to compositions useful for the removal of ceria particles and CMP contaminants from a microelectronic device having such material(s) thereon.
  • the ceria particles and CMP contaminants are efficaciously removed using the compositions and further the compositions are compatible with silicon nitride and low-k dielectric (e.g., silicon oxide) layers.
  • microelectronic device corresponds to semiconductor substrates, flat panel displays, phase change memory devices, solar panels and other products including solar substrates, photovoltaics, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications.
  • Solar substrates include, but are not limited to, silicon, amorphous silicon, polycrystalline silicon, monocrystalline silicon, CdTe, copper indium selenide, copper indium sulfide, and gallium arsenide on gallium.
  • the solar substrates may be doped or undoped. It is to be understood that the term "microelectronic device” is not meant to be limiting in any way and includes any substrate that will eventually become a microelectronic device or microelectronic assembly.
  • ceria particles corresponds to cerium-based abrasive particles that may be used in chemical mechanical polishing slurries, including, for example, a cerium oxide having the formula Ce203 and Ce02. It should be appreciated that the “ceria particles” may comprise, consist of, or consist essentially of cerium oxide.
  • contaminants correspond to chemicals present in the CMP slurry, reaction by-products of the polishing slurry, post-CMP residue, chemicals present in the wet etching composition, reaction by products of the wet etching composition, and any other materials that are the by-products of the CMP process, the wet etching, the plasma etching or the plasma ashing process.
  • post-CMP residue corresponds to particles from the polishing slurry, e.g., chemicals present in the slurry, reaction by-products of the polishing slurry, carbon-rich particles, polishing pad particles, brush deloading particles, equipment materials of construction particles, metal, organic residues, and any other materials that are the by-products of the CMP process.
  • the post-CMP residue can further comprise tungsten-containing particles.
  • low-k dielectric material corresponds to any material used as a dielectric material in a layered microelectronic device, wherein the material has a dielectric constant less than about 3.5.
  • the low-k dielectric materials include low-polarity materials such as silicon- containing organic polymers, silicon-containing hybrid organic/inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), silicon dioxide, and carbon-doped oxide (CDO) glass. It is to be appreciated that the low-k dielectric materials may have varying densities and varying porosities.
  • complexing agent includes those compounds that are understood by one skilled in the art to be complexing agents, chelating agents and/or sequestering agents. Complexing agents will chemically combine with or physically hold the metal atom and/or metal ion to be removed using the compositions described herein.
  • substantially devoid is defined herein as less than 2 wt. %, preferably less than 1 wt. %, more preferably less than 0.5 wt. %, and most preferably less than 0.1 wt. %. "Devoid” is intended to correspond to less than 0.001 wt% to account for environmental contamination.
  • Oxidizing agents correspond to compounds that oxidize exposed metal(s) resulting in corrosion of the metal or oxide formation on the metal. Oxidizing agents include, but are not limited to: hydrogen peroxide; other percompounds such as salts and acids containing peroxomonosulfate, perborate, perchlorate, periodate, persulfate, permanganate, and peracetate anions; and amine-N-oxides.
  • fluoride containing compounds correspond to salts or acid compounds comprising a fluoride ion (F ) that is ionically bonded to another atom.
  • barrier material corresponds to any material used in the art to seal the metal lines (e.g., copper interconnects) to minimize the diffusion of said metal (e.g., copper) into the dielectric material.
  • Preferred barrier layer materials include tantalum, titanium, ruthenium, hafnium, tungsten, and other refractory metals and their nitrides and silicides.
  • degradation products of adenosine and adenosine derivatives includes, but is not limited to, adenine (C5H5N5), methylated adenine (e.g., N-methyl-7H- purin-6-amine, C6H7N5), dimethylated adenine (e.g., N,N-dimethyl-7H-purin-6-amine, C7H9N5), N4,N4-dimethylpyrimidine-4,5,6-triamine (CeHnNs), 4,5,6-triaminopyrimidine, allantoin (C 4 H 6 N 4 03), hydroxylated C-O-O-C dimers C-C bridged dimers ((C 5 H 4 N 5 )2 or (C5H4N50)2), ribose (C5H10O5), methylated ribose (e.g., 5-(methoxymethyl)tetrahydrofur
  • surfactants correspond to amphiphilic species having hydrophobic groups and hydrophilic groups, as readily understood by those skilled in the art, but do not include polymers.
  • polymers correspond to species that have repeating monomelic groups, and can be natural or synthetic. It should be appreciated that a “polymer” can be a homopolymer, having only one type of repeating monomer, or a co-polymer, having more than one type of repeating monomer.
  • suitable for removing ceria particles and CMP contaminants from a microelectronic device having said particles and contaminants thereon corresponds to at least partial removal of said particles/contaminants from the microelectronic device.
  • Cleaning efficacy is rated by the reduction of objects on the microelectronic device. For example, pre- and post-cleaning analysis may be carried out using an atomic force microscope. The particles on the sample may be registered as a range of pixels. A histogram (e.g., a Sigma Scan Pro) may be applied to filter the pixels in a certain intensity (e.g., 231-235) and the number of particles counted. The particle reduction may be calculated using:
  • the method of determination of cleaning efficacy is provided for example only and is not intended to be limited to same.
  • the cleaning efficacy may be considered as a percentage of the total surface that is covered by particulate matter.
  • AFM's may be programmed to perform a z-plane scan to identify topographic areas of interest above a certain height threshold and then calculate the area of the total surface covered by said areas of interest.
  • AFM's may be programmed to perform a z-plane scan to identify topographic areas of interest above a certain height threshold and then calculate the area of the total surface covered by said areas of interest.
  • At least 75% of the particles/contaminants are removed from the microelectronic device using the compositions described herein, more preferably at least 90%, even more preferably at least 95%, and most preferably at least 99% of the particles/contaminants are removed.
  • compositions described herein may be embodied in a wide variety of specific formulations, as hereinafter more fully described.
  • compositions wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.00001 weight percent, based on the total weight of the composition in which such components are employed.
  • a removal composition is described, which, in some embodiments, is preferably an aqueous removal composition, comprising, consisting of, or consisting essentially of at least one pH adjusting agent, at least one reducing agent, at least one organic additive, optionally at least one complexing agent, optionally at least one polymer, and optionally at least one oxygen scavenger.
  • the removal composition comprises, consists of, or consists essentially of at least one pH adjusting agent, at least one reducing agent, and at least one organic additive.
  • the removal composition comprises, consists of, or consists essentially of at least one pH adjusting agent, at least one reducing agent, at least one organic additive, and at least one complexing agent.
  • the removal composition comprises, consists of, or consists essentially of at least one pH adjusting agent, at least one reducing agent, at least one organic additive, and at least one polymer.
  • the removal composition comprises, consists of, or consists essentially of at least one pH adjusting agent, at least one reducing agent, at least one organic additive, and at least one oxygen scavenger.
  • the removal composition comprises, consists of, or consists essentially of at least one pH adjusting agent, at least one reducing agent, at least one organic additive, at least one complexing agent, and at least one oxygen scavenger.
  • the removal composition comprises, consists of, or consists essentially of at least one pH adjusting agent, at least one reducing agent, at least one organic additive, at least one complexing agent, and at least one polymer.
  • the removal composition comprises, consists of, or consists essentially of at least one pH adjusting agent, at least one reducing agent, at least one organic additive, at least one polymer, and at least one oxygen scavenger.
  • the removal composition comprises, consists of, or consists essentially of at least one pH adjusting agent, at least one reducing agent, at least one organic additive, at least one complexing agent, at least one polymer, and at least one oxygen scavenger. It is understood by those skilled in the art that the aqueous cleaning compositions described herein further comprise water, preferably deionized water.
  • the removal composition can be substantially devoid of at least one of oxidizing agents; fluoride-containing sources; surfactants; tetramethylammonium hydroxide; chemical mechanical polishing abrasive materials (e.g., silica, alumina, etc.); and corrosion inhibitors selected from the group consisting of cyanuric acid, barbituric acid and derivatives thereof, glucuronic acid, squaric acid, alpha-keto acids, adenosine and derivatives thereof, ribosylpurines and derivatives thereof, purine compounds and derivatives thereof, degradation products of adenosine and adenosine derivatives, triaminopyrimidine and other substituted pyrimidines, purine -saccharide complexes, phosphonic acid and derivatives thereof, phenanthroline, glycine, nicotinamide and derivatives thereof, flavonoids such as flavonols and anthocyanins and derivatives thereof,
  • Complexing agents when present, include species having the general formula NR3 ⁇ 4 2 R 3 , wherein R 1 , R 2 and R 3 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chain or branched Ci-Ce alkyl (e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl) groups, straight-chain or branched Ci-Ce hydroxyalkyl (e.g., hydroxymethyl, hydroxyethyl, hydroxypropyl, hydroxybutyl, hydroxypentyl, and hydroxyhexyl) groups, and Ci-Ce alkyl ethers of straight chain or branched Ci-Ce hydroxyalkyl groups as defined above.
  • Ci-Ce alkyl e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl
  • Ci-Ce hydroxyalkyl
  • R 1 , R 2 and R 3 is a straight-chain or branched Ci-Ce hydroxyalkyl group.
  • alkanolamines such as aminoethylethanolamine, N- methylaminoethanol, aminoethoxyethanol, dimethylaminoethoxyethanol, diethanolamine, N- methyldiethanolamine, monoethanolamine (MEA), triethanolamine (TEA), l-amino-2-propanol, 2-amino-l-butanol, isobutanolamine, triethylenediamine, other Ci - Cs alkanolamines and combinations thereof.
  • alkanolamines such as aminoethylethanolamine, N- methylaminoethanol, aminoethoxyethanol, dimethylaminoethoxyethanol, diethanolamine, N- methyldiethanolamine, monoethanolamine (MEA), triethanolamine (TEA), l-amino-2-propanol, 2-amino-l-butanol, isobutan
  • the amine When the amine includes the alkylether component, the amine may be considered an alkoxyamine, e.g., l-methoxy-2-aminoethane.
  • the complexing agent may be a multi-functional amine including, but not limited to, 4-(2-hydroxyethyl)morpholine (HEM), l,2-cyclohexanediamine-N,N,N ' ,N ' -tetraacetic acid (CDTA), ethylenediaminetetraacetic acid (EDTA), m-xylenediamine (MXDA), iminodiacetic acid (IDA), 2-(hydroxyethyl)iminodiacetic acid (HIDA), nitrilotriacetic acid, thiourea, 1, 1,3,3- tetramethylurea, urea, urea derivatives, uric acid, alanine, arginine, asparagine, aspartic acid
  • HEM 4-(2-hydroxyethyl
  • additional complexing agents can include phosphonates (e.g., l-hydroxyethylidene-l, l-diphosphonic acid (HEDP), l,5,9-triazacyclododecane-N,N',N"- tris(methylenephosphonic acid) (DOTRP), l,4,7, 10-tetraazacyclododecane-N,N',N",N"'- tetrakis(methylenephosphonic acid) (DOTP), nitrilotris(methylene)triphosphonic acid, diethylenetriaminepentakis(methylenephosphonic acid) (DETAP), aminotri(methylenephosphonic acid), bis(hexamethylene)triamine pentamethylene phosphonic acid, 1,4,7-triazacyclononane- N,N',N"-tris(methylenephosphonic acid (NOTP), hydroxyethyldiphosphonate, nitrilotris(
  • the at least one complexing agent comprises a species selected from the group consisting of monoethanolamine, triethanolamine, sulfuric acid, citric acid and combinations thereof.
  • the amount of complexing agent(s) in the removal composition is in a range from about 0.01 wt% to about 10 wt%, based on the total weight of the removal composition.
  • pH adjusting agents include acids and/or bases.
  • Bases include, but are not limited to, alkali metal hydroxides (e.g., LiOH, KOH, RbOH, CsOH), alkaline earth metal hydroxides (e.g., Be(OH)2, Mg(OH)2, Ca(OH)2, Sr(OH)2, Ba(OH)2), ammonium hydroxide (i.e., ammonia), and a tetraalkylammonium hydroxide compound having the formula NR 1 R 2 R 3 R 4 OH, wherein R 1 , R 2 , R 3 and R 4 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chain or branched Ci-Ce alkyl (e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl) groups, Ci-Ce hydroxyalkyl (e.g., hydroxymethyl, hydroxyethyl,
  • Tetraalkylammonium hydroxides that are commercially available include tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), tetrapropylammonium hydroxide (TP AH), tetrabutylammonium hydroxide (TBAH), tributylmethylammonium hydroxide (TBMAH), benzyltrimethylammonium hydroxide (BTMAH), choline hydroxide, ethyltrimethylammonium hydroxide, tris(2-hydroxyethyl)methyl ammonium hydroxide, diethyldimethylammonium hydroxide, and combinations thereof, may be used.
  • TMAH tetramethylammonium hydroxide
  • TEAH tetraethylammonium hydroxide
  • TP AH tetrapropylammonium hydroxide
  • TBAH tetrabutylammonium hydroxide
  • the pH adjusting agent may be a quaternary base having the formula (PR 1 R 2 R 3 R 4 )OH, wherein R 1 , R 2 , R 3 , and R 4 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chain Ci-Ce alkyl (e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl) groups, branched Ci-Ce alkyl groups, Ci-Ce hydroxyalkyl (e.g., hydroxymethyl, hydroxyethyl, hydroxypropyl, hydroxybutyl, hydroxypentyl, and hydroxyhexyl) groups, substituted C6-C 1 0 aryl groups, unsubstituted C6-C 1 0 aryl groups (e.g., benzyl groups), and any combination thereof, such as tetrabutylphosphonium hydroxide (TBPH),
  • TBPH
  • Acids include, but are not limited to, nitric acid, sulfuric acid, phosphoric acid, hydrochloric acid, hydrobromic acid, methanesulfonic acid, benzene sulfonic acid, p-toluenesulfonic acid, trifluoromethanesulfonic acid, acetic acid, lactic acid, gly colic acid, and any combination thereof.
  • the pH adjusting agent comprises KOH.
  • the pH adjusting agent comprises choline hydroxide.
  • the pH adjusting agent comprises ammonium hydroxide.
  • the pH adjusting agent comprises at least one alkali metal hydroxide and at least one additional hydroxide enumerated herein.
  • the pH adjusting agent comprises KOH and at least one additional hydroxide enumerated herein.
  • the pH adjusting agent comprises KOH and at least one of choline hydroxide and ammonium hydroxide.
  • the pH adjusting agent can further include at least one acid, for example, sulfuric acid, citric acid, or a combination of citric acid and sulfuric acid.
  • Organic additives contemplated include, but are not limited to, 2-pyrrolidinone, l-(2- hydroxyethyl)-2-pyrrolidinone (HEP), glycerol, 1,4-butanediol, tetramethylene sulfone (sulfolane), dimethyl sulfone, ethylene glycol, propylene glycol, dipropylene glycol, tetraglyme, diglyme, methyl isobutyl ketone, methyl ethyl ketone, acetone, isopropanol, octanol, ethanol, butanol, methanol, isophorone, a glycol ether (e.g., diethylene glycol monomethyl ether, triethylene glycol monomethyl ether, diethylene glycol monoethyl ether, triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, di
  • Polymers when present, include, but are not limited to, methacrylic acid homopolymer and copolymers with, for example, acrylamidomethylpropane sulfonic acid and maleic acid; maleic acid/vinyl ether copolymer; polyvinylpyrrolidone )/vinyl acetate; homopolymers such as phosphonated polyethyleneglycol oligomers, poly(acrylic acid) (PAA), poly(acrylamide), poly(vinyl acetate), poly(ethylene glycol) (PEG), poly (propylene glycol) (PPG), poly(styrene sulfonic acid), poly(vinyl sulfonic acid), poly(vinyl phosphonic acid), poly(vinyl phosphoric acid), poly(ethyleneimine), poly(propyleneimine), polyallylamine, polyethylene oxide (PEO), polyvinyl pyrrolidone (PVP); PPG-PEG-PPG block copolymers
  • Oxygen scavengers when present, include, but are not limited to, dialkylhydroxylamines, hydroxyalkylhydroxylamines, carbohydrazide, 2-aminoethylpiperazine (AEP), methylethylketoxime, hydroquinone, hydrazines, 1,3-, 1,4- and 2,5-cyclohexanediones alone or in combination with 3- methoxypropylamine, aminophenols, linear water-soluble polyethyleneamines (e.g., triethylenetetramine, tetraethylenepentamine, pentaethylenehexamines), sodium sulfite, ammonium sulfite, potassium sulfite, and combinations thereof.
  • dialkylhydroxylamines hydroxyalkylhydroxylamines
  • carbohydrazide 2-aminoethylpiperazine (AEP)
  • AEP 2-aminoethylpiperazine
  • methylethylketoxime hydro
  • the oxygen scavenger includes carbohydrazide, 2-aminoethylpiperazine (AEP), methylethylketoxime, hydroquinone, hydrazines, 1,3-, 1,4- and 2,5-cyclohexanediones alone or in combination with 3-methoxypropylamine, aminophenols, linear water-soluble polyethyleneamines such as triethylenetetramine, tetraethylenepentamine, pentaethylenehexamines, and combinations thereof.
  • the oxygen scavengers assist with the stabilization of the reducing agent.
  • the amount of oxygen scavenger(s) in the removal composition is in a range from about 0.0001 wt% to about 1 wt%, based on the total weight of the removal composition.
  • Reducing agents include, but are not limited to, ascorbic acid, L(+)-ascorbic acid, isoascorbic acid, ascorbic acid derivatives, sulfurous acid, ammonium sulfite, potassium sulfite, sodium sulfite, dopamine HC1, phosphorous acid, phosphinic acid, potassium metabisulfite, sodium metabisulfite, ammonium metabisulfite, hydroxylamine, reducing sugars (e.g., galactose, ribose, arabinose, xylose, fucose, rhamnose, mannose, fructose, sorbose, galacturonic acid, glucosamine, maltose, lactose), potassium pyruvate, sodium pyruvate, ammonium pyruvate, formic acid, sodium formate, potassium formate, ammonium formate, dopamine, sulfur dioxide solution, and any combination thereof.
  • reducing sugars
  • the at least one reducing agent comprises at least one sulfite ion and at least one other enumerated reducing agent, for example, sulfurous acid, potassium sulfite, ammonium sulfite, phosphinic acid, and any combination thereof.
  • sulfurous acid potassium sulfite
  • ammonium sulfite ammonium sulfite
  • phosphinic acid phosphinic acid
  • An aqueous removal composition including the components described above, is particularly useful for removing ceria particles and contaminants (e.g., post-CMP residue and contaminants) from a microelectronic device structure.
  • the pH of the aqueous removal compositions described herein can be in a range from about 1 to about 13.9. In one embodiment, the pH is in a range from about 3 to about 13.9, preferably about 3.5 to about 13.9. In another embodiment, the pH is in a range from about 3.5 to about 5.5. In still another embodiment, the pH is in a range from about 4.5 to about 8.5, preferably about 7.5 to 8.5. In another embodiment, the pH is in a range from about 9 to about 13.7.
  • the aqueous removal composition comprises, consists of, or consists essentially of reducing agent(s), at least one pH adjusting agent, at least one organic additive, and water.
  • the aqueous removal composition comprises, consists of, or consists essentially of a compound comprising a sulfite ion, at least one pH adjusting agent, at least one organic additive, and water.
  • the aqueous removal composition comprises, consists of, or consists essentially of reducing agent(s), at least one pH adjusting agent, propylene glycol phenyl ether, and water.
  • the aqueous removal composition comprises, consists of, or consists essentially of a compound comprising a sulfite ion, at least one pH adjusting agent, propylene glycol phenyl ether, and water.
  • the aqueous removal composition comprises, consists of, or consists essentially of reducing agent(s), at least one complexing agent, at least one pH adjusting agent, at least one organic additive, and water.
  • the aqueous removal composition comprises, consists of, or consists essentially of a compound comprising a sulfite ion, at least one complexing agent, at least one pH adjusting agent, at least one organic additive, and water.
  • the aqueous removal composition comprises, consists of, or consists essentially of a compound comprising a sulfite ion, citric acid, at least one pH adjusting agent, at least one organic additive, and water.
  • the aqueous removal composition comprises, consists of, or consists essentially of a compound comprising a sulfite ion, citric acid, at least one pH adjusting agent, propylene glycol phenyl ether, and water.
  • the aqueous removal composition comprises, consists of, or consists essentially of reducing agent(s) (e.g., a compound comprising a sulfite ion), at least one pH adjusting agent, at least one organic additive, at least one oxygen scavenger, and water.
  • the aqueous removal composition comprises, consists of, or consists essentially of reducing agent(s) (e.g., a compound comprising a sulfite ion), at least one pH adjusting agent, at least one organic additive, at least one complexing agent (e.g., citric acid), at least one oxygen scavenger, and water.
  • the weight percent ratios of organic additive(s) to reducing agent(s) is in a range from about 0.001 : 1 to about 10: 1, preferably about 0.1 : 1 to about 5: 1.
  • the amount of the pH adjusting agent is dependent on the final pH sought when preparing the removal composition for use, based on the pH values disclosed herein, and the knowledge of the person skilled in the art.
  • a concentrated removal composition that can be diluted for use as a cleaning solution.
  • a concentrated composition, or "concentrate,” advantageously permits a user (e.g. a CMP process engineer) to dilute the concentrate to the desired strength and pH at the point of use.
  • Dilution of the concentrated aqueous removal composition may be in a range from about 1 : 1 to about 2500: 1, preferably about 5: 1 to about 200: 1, and most preferably about 20: 1 to about 120: 1, wherein the aqueous removal composition is diluted at or just before the tool with solvent, e.g., deionized water. It is to be appreciated by one skilled in the art that following dilution, the range of weight percent ratios of the components disclosed herein should remain unchanged.
  • compositions described herein may have utility in applications including, but not limited to, post-etch residue removal, post-ash residue removal surface preparation, post-plating cleaning and post-CMP residue removal.
  • aqueous cleaning compositions described herein may be useful for the cleaning and protection of other metal (e.g., copper-containing and tungsten-containing) products including, but not limited to, decorative metals, metal wire bonding, printed circuit boards and other electronic packaging using metal or metal alloys.
  • the aqueous removal compositions described herein further includes ceria particles and/or CMP contaminants.
  • the ceria particles and contaminants become a component of the removal composition after cleaning has begun and will be dissolved and/or suspended in the compositions.
  • the aqueous removal compositions are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the compositions may be readily formulated as single -package formulations or multi-part formulations that are mixed at or before the point of use, e.g., the individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool.
  • concentrations of the respective ingredients may be widely varied in specific multiples of the composition, i.e., more dilute or more concentrated, and it will be appreciated that the compositions described herein can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.
  • kits including, in one or more containers, one or more components adapted to form the compositions described herein.
  • the kit may include, in one or more containers, at least one pH adjusting agent, at least one reducing agent, at least one organic additive, water, optionally at least one complexing agent, optionally at least one polymer, and optionally at least one oxygen scavenger, for combining with additional solvent (e.g., water) at the fab or the point of use.
  • additional solvent e.g., water
  • the kit may include, in one or more containers, at least one reducing agent, at least one organic additive, water, optionally at least one complexing agent, optionally at least one polymer, and optionally at least one oxygen scavenger, for combining with at least one pH adjusting agent and additional solvent (e.g., water) at the fab or the point of use.
  • the containers of the kit must be suitable for storing and shipping the compositions and may be, for example, NOWPak® containers (Entegris, Inc., Billerica, Mass., USA).
  • the one or more containers which contain the components of the aqueous removal composition preferably include means for bringing the components in said one or more containers in fluid communication for blending and dispense.
  • gas pressure may be applied to the outside of a liner in said one or more containers to cause at least a portion of the contents of the liner to be discharged and hence enable fluid communication for blending and dispense.
  • gas pressure may be applied to the head space of a conventional pressurizable container or a pump may be used to enable fluid communication.
  • the system preferably includes a dispensing port for dispensing the blended removal composition to a process tool.
  • the aqueous removal compositions described herein are usefully employed to clean ceria particles and/or CMP contaminants (e.g., post- CMP residue and contaminants) from the surface of the microelectronic device.
  • the aqueous removal compositions do not damage low-k dielectric materials (e.g., silicon oxide), silicon nitride layers, or tungsten-containing layers on the device surface.
  • the aqueous removal compositions remove at least 85 % of the ceria particles present on the device prior to particle removal, more preferably at least 90 %, even more preferably at least 95 %, and most preferably at least 99%.
  • the aqueous removal composition described herein may be used with a large variety of conventional cleaning tools such as megasonics and brush scrubbing, including, but not limited to, Verteq single wafer megasonic Goldfinger, OnTrak systems DDS (double-sided scrubbers), SEZ or other single wafer spray rinse, Applied Materials Mirra-MesaTM /ReflexionTM/Reflexion LKTM, and Megasonic batch wet bench systems.
  • megasonics and brush scrubbing including, but not limited to, Verteq single wafer megasonic Goldfinger, OnTrak systems DDS (double-sided scrubbers), SEZ or other single wafer spray rinse, Applied Materials Mirra-MesaTM /ReflexionTM/Reflexion LKTM, and Megasonic batch wet bench systems.
  • the aqueous removal composition typically is contacted with the device for a time of from about 5 sec to about 10 minutes, preferably about 1 sec to 20 min, preferably about 15 sec to about 5 min at temperature in a range of from about 20°C to about 90°C, preferably about 20°C to about 50°C.
  • Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to at least partially remove the ceria particles and CMP contaminants from the device, within the broad practice of the method.
  • At least partially clean and substantially removal both correspond to at removal of at least 85 % of the ceria particles present on the device prior to particle removal, more preferably at least 90 %, even more preferably at least 95 %, and most preferred at least 99 %
  • the aqueous removal composition may be readily removed from the device to which it has previously been applied, as may be desired and efficacious in a given end use application of the compositions described herein.
  • the rinse solution includes deionized water.
  • the device may be dried using nitrogen or a spin-dry cycle.
  • Yet another aspect relates to the improved microelectronic devices made according to the methods described herein and to products containing such microelectronic devices.
  • Another aspect relates to a recycled aqueous removal composition, wherein the removal composition may be recycled until particle and/or contaminant loading reaches the maximum amount the aqueous removal composition may accommodate, as readily determined by one skilled in the art.
  • a still further aspect relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with an aqueous removal composition for sufficient time to remove ceria particles and CMP contaminants from the microelectronic device having said particles and contaminants thereon, and incorporating said microelectronic device into said article, using a removal composition described herein.
  • a method of removing ceria particles and CMP contaminants from a microelectronic device having same thereon is described.
  • the method comprises polishing the microelectronic device with a CMP slurry, wherein the CMP slurry comprises ceria particles; contacting the microelectronic device with an aqueous removal composition comprising at least one pH adjusting agent, at least one reducing agent, at least one organic additive, water, optionally at least one complexing agent, optionally at least one polymer, and optionally at least one oxygen scavenger, for a sufficient time to remove ceria particles and CMP contaminants from the microelectronic device to form a post-CMP particle -containing composition; and continuously contacting the microelectronic device with the post-CMP particle-containing composition for a sufficient amount of time to effect substantial cleaning of the microelectronic device.
  • Any of the components described above may be used in the present method of removing ceria particles and CMP contaminants.
  • Another aspect relates to an article of manufacture comprising an aqueous removal composition, a microelectronic device wafer, and material selected from the group consisting of ceria particles, CMP contaminants and combinations thereof, wherein the removal composition comprises at least one pH adjusting agent, at least one reducing agent, at least one organic additive, water, optionally at least one complexing agent, optionally at least one polymer, and optionally at least one oxygen scavenger.
  • the removal compositions shown below were prepared (the balance in each formulation was DI water) and diluted 100: 1 with water.
  • the process involved immersing a TEOS substrate in a ceria- containing slurry for 5 minutes, rinsing the substrate in DI water for 30 seconds, immersing the substrate in the removal composition for 60 seconds, and then rinsing with a second DI water rinse for 30 seconds.
  • the temperature during immersion was room temperature.
  • the extent of cleaning was determined using Scanning Electron Microscopy (SEM), Inductively Coupled Plasma Mass Spectrometry (ICP-MS), and Dark Field Microscopy (DFM), and compared to Comparative Formulation 1.
  • Formulation J had 150x improved performance relative to Comparative Formulation 1.
  • Formulations D, F, H, I, and N-R were also more efficient than the comparative formulation at cleaning. Of note, these formulations had a higher pH, in a range from 4-6.
  • the removal compositions shown below were prepared (the balance in each formulation was DI water) and diluted 100: 1 with water.
  • the process involved immersing a TEOS substrate in a ceria- containing slurry for 5 minutes, rinsing the substrate in DI water for 30 seconds, immersing the substrate in the removal composition for 60 seconds, and then rinsing with a second DI water rinse for 30 seconds.
  • the temperature during immersion was room temperature.
  • the extent of cleaning was determined using Scanning Electron Microscopy (SEM), Inductively Coupled Plasma Mass Spectrometry (ICP-MS), and Dark Field Microscopy (DFM).
  • SEM Scanning Electron Microscopy
  • ICP-MS Inductively Coupled Plasma Mass Spectrometry
  • DFM Dark Field Microscopy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Emergency Medicine (AREA)
  • Dispersion Chemistry (AREA)
  • Biochemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Geology (AREA)
  • Inorganic Chemistry (AREA)

Abstract

A removal composition and process for cleaning post-chemical mechanical polishing (CMP) contaminants and ceria particles from a microelectronic device having said particles and contaminants thereon. The composition achieves highly efficacious removal of the ceria particles and CMP contaminant material from the surface of the microelectronic device without compromising the low-k dielectric, silicon nitride, or tungsten-containing materials.

Description

COMPOSITIONS AND METHODS FOR REMOVING CERIA PARTICLES FROM A
SURFACE
RELATED APPLICATIONS
[0001] This application claims the benefit of U.S. Provisional Application No. 62/447,729 filed on January 18, 2017, the entire disclosure of which is incorporated in its entirety by reference herein.
FIELD
[0002] The present invention relates generally to compositions for removing ceria particles and other chemical mechanical polishing slurry contaminants from microelectronic devices having same thereon.
DESCRIPTION OF THE RELATED ART
[0003] Microelectronic device wafers are used to form integrated circuits. The microelectronic device wafer includes a substrate, such as silicon, into which regions are patterned for deposition of different materials having insulative, conductive or semi -conductive properties.
[0004] In order to obtain the correct patterning, excess material used in forming the layers on the substrate must be removed. Further, to fabricate functional and reliable circuitry, it is important to prepare a flat or planar microelectronic wafer surface prior to subsequent processing. Thus, it is necessary to remove and/or polish certain surfaces of a microelectronic device wafer.
[0005] Chemical Mechanical Polishing or Planarization ("CMP") is a process in which material is removed from a surface of a microelectronic device wafer, and the surface is polished (e.g., planarized) by coupling a physical process such as abrasion with a chemical process such as oxidation or chelation. In its most rudimentary form, CMP involves applying an abrasive slurry having an active chemistry to a polishing pad that buffs the surface of a microelectronic device wafer during the removal, planarization, and polishing processes. Removal or polishing processes using purely physical or purely chemical action are not as effective as the synergistic combination of both in order to achieve fast, uniform removal. In addition, in the fabrication of integrated circuits, the CMP slurry should also be able to preferentially remove films that comprise complex layers of metals and other materials so that highly planar surfaces can be produced for subsequent photolithography, or patterning, etching and thin-film processing.
[0006] In a front-end-of-the-line (FEOL) method for forming an isolation region in a silicon substrate using the shallow trench isolation (STI) process, a pad oxide film and a pad nitride film are deposited on a semiconductor substrate and patterned to expose portions of the substrate, which correspond to an isolation region. Then, the exposed regions of the substrate are etched to form a trench. Thereafter, the substrate is subjected to a sacrificial oxidation process to remove damage caused by the substrate etching followed by formation of a wall oxide film on the surface of the trench. Next, a trench-buried oxide film (e.g., an oxide film formed by high density plasma chemical vapor deposition referred to as an HDP-oxide film), is deposited on the surface of the substrate in such a manner as to be buried in the trench. Then, the surface of the HDP-oxide film is subjected to chemical mechanical polishing until the pad nitride film is exposed. The resulting substrate is then cleaned and the pad nitride film which was used as an etch barrier during the trench etch is removed, completing the formation of an isolation region.
[0007] A CMP slurry using ceria particles achieves a faster polishing speed for an insulator, relative to a silica-containing slurry. Moreover, a ceria-based slurry is most often used because of the ability to achieve STI pattern planarization with minimal oxide erosion. Disadvantageous^, ceria- based slurries are difficult to remove from STI structures because of the oppositely charged zeta potentials of the ceria particles relative to the silicon oxide and silicon nitride surfaces. If a device is manufactured with these residues remaining on the wafer, the residues will lead to short circuits and an increase in electric resistance. Ceria particles are also a problem with FinFET structures following CMP processing using ceria slurries.
[0008] Currently the most efficient wet cleaning formulation for removing ceria particles is dilute hydrofluoric acid (DHF). However, DHF disadvantageously etches silicon oxide and other low-k dielectric materials.
[0009] Therefore, a need remains for a ceria particle removal composition and process that effectively removes ceria particles from a surface of a microelectronic device while not damaging the underlying materials such as silicon nitride, low-k dielectrics (e.g., silicon oxide), and tungsten- containing layers. The ceria particle removal composition should also efficaciously remove CMP slurry contaminants from the surface of the microelectronic device.
SUMMARY
[0009] The present invention generally relates to a removal composition and process, particularly useful for cleaning ceria particles and CMP contaminants from microelectronic devices having said particles and CMP contaminants thereon.
[0010] In one aspect, an aqueous removal composition is described, said composition comprising at least one pH adjusting agent, at least one reducing agent, at least one organic additive, water, optionally at least one complexing agent, and optionally at least one oxygen scavenger.
[0011] In another aspect, a method of removing ceria particles and CMP contaminants from a microelectronic device having said particles and contaminants thereon is described, said method comprising contacting the microelectronic device with a removal composition for sufficient time to at least partially clean said particles and contaminants from the microelectronic device, wherein said removal composition comprises at least one pH adjusting agent, at least one reducing agent, at least one organic additive, water, optionally at least one complexing agent, and optionally at least one oxygen scavenger.
[0012] In still another aspect, an article of manufacture is described, said article comprising an aqueous removal composition, a microelectronic device wafer, and material selected from the group consisting of ceria particles, CMP contaminants and combinations thereof, wherein the cleaning composition comprises at least one pH adjusting agent, at least one reducing agent, at least one organic additive, water, optionally at least one complexing agent, and optionally at least one oxygen scavenger.
[0013] Other aspects, features and advantages will be more fully apparent from the ensuing disclosure and appended claims.
DETAILED DESCRIPTION, AND PREFERRED EMBODIMENTS THEREOF [0014] The present invention relates generally to compositions useful for the removal of ceria particles and CMP contaminants from a microelectronic device having such material(s) thereon. Advantageously, the ceria particles and CMP contaminants are efficaciously removed using the compositions and further the compositions are compatible with silicon nitride and low-k dielectric (e.g., silicon oxide) layers.
[0015] For ease of reference, "microelectronic device" corresponds to semiconductor substrates, flat panel displays, phase change memory devices, solar panels and other products including solar substrates, photovoltaics, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications. Solar substrates include, but are not limited to, silicon, amorphous silicon, polycrystalline silicon, monocrystalline silicon, CdTe, copper indium selenide, copper indium sulfide, and gallium arsenide on gallium. The solar substrates may be doped or undoped. It is to be understood that the term "microelectronic device" is not meant to be limiting in any way and includes any substrate that will eventually become a microelectronic device or microelectronic assembly.
[0016] As used herein, "ceria particles" corresponds to cerium-based abrasive particles that may be used in chemical mechanical polishing slurries, including, for example, a cerium oxide having the formula Ce203 and Ce02. It should be appreciated that the "ceria particles" may comprise, consist of, or consist essentially of cerium oxide.
[0017] As used herein, "contaminants" correspond to chemicals present in the CMP slurry, reaction by-products of the polishing slurry, post-CMP residue, chemicals present in the wet etching composition, reaction by products of the wet etching composition, and any other materials that are the by-products of the CMP process, the wet etching, the plasma etching or the plasma ashing process. [0018] As used herein, "post-CMP residue" corresponds to particles from the polishing slurry, e.g., chemicals present in the slurry, reaction by-products of the polishing slurry, carbon-rich particles, polishing pad particles, brush deloading particles, equipment materials of construction particles, metal, organic residues, and any other materials that are the by-products of the CMP process. In addition, if tungsten was removed during the CMP process, the post-CMP residue can further comprise tungsten-containing particles.
[0019] As defined herein, "low-k dielectric material" corresponds to any material used as a dielectric material in a layered microelectronic device, wherein the material has a dielectric constant less than about 3.5. Preferably, the low-k dielectric materials include low-polarity materials such as silicon- containing organic polymers, silicon-containing hybrid organic/inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), silicon dioxide, and carbon-doped oxide (CDO) glass. It is to be appreciated that the low-k dielectric materials may have varying densities and varying porosities.
[0020] As defined herein, "complexing agent" includes those compounds that are understood by one skilled in the art to be complexing agents, chelating agents and/or sequestering agents. Complexing agents will chemically combine with or physically hold the metal atom and/or metal ion to be removed using the compositions described herein.
[0021] "Substantially devoid" is defined herein as less than 2 wt. %, preferably less than 1 wt. %, more preferably less than 0.5 wt. %, and most preferably less than 0.1 wt. %. "Devoid" is intended to correspond to less than 0.001 wt% to account for environmental contamination.
[0022] As used herein, "about" is intended to correspond to ± 5 % of the stated value.
[0023] As used herein, "oxidizing agents" correspond to compounds that oxidize exposed metal(s) resulting in corrosion of the metal or oxide formation on the metal. Oxidizing agents include, but are not limited to: hydrogen peroxide; other percompounds such as salts and acids containing peroxomonosulfate, perborate, perchlorate, periodate, persulfate, permanganate, and peracetate anions; and amine-N-oxides.
[0024] As used herein, "fluoride containing compounds" correspond to salts or acid compounds comprising a fluoride ion (F ) that is ionically bonded to another atom.
[0025] As defined herein, the term "barrier material" corresponds to any material used in the art to seal the metal lines (e.g., copper interconnects) to minimize the diffusion of said metal (e.g., copper) into the dielectric material. Preferred barrier layer materials include tantalum, titanium, ruthenium, hafnium, tungsten, and other refractory metals and their nitrides and silicides.
[0026] For the purposes of this disclosure, "degradation products of adenosine and adenosine derivatives" includes, but is not limited to, adenine (C5H5N5), methylated adenine (e.g., N-methyl-7H- purin-6-amine, C6H7N5), dimethylated adenine (e.g., N,N-dimethyl-7H-purin-6-amine, C7H9N5), N4,N4-dimethylpyrimidine-4,5,6-triamine (CeHnNs), 4,5,6-triaminopyrimidine, allantoin (C4H6N403), hydroxylated C-O-O-C dimers
Figure imgf000005_0001
C-C bridged dimers ((C5H4N5)2 or (C5H4N50)2), ribose (C5H10O5), methylated ribose (e.g., 5-(methoxymethyl)tetrahydrofuran-2,3,4- triol, C6H12O5), tetramethylated ribose (e.g., 2,3,4-trimethoxy-5-(methoxymethyl)tetrahydrofuran, C H18O5), and other ribose derivatives such as methylated hydrolyzed diribose compounds.
[0027] As used herein, "surfactants" correspond to amphiphilic species having hydrophobic groups and hydrophilic groups, as readily understood by those skilled in the art, but do not include polymers. As defined herein, "polymers" correspond to species that have repeating monomelic groups, and can be natural or synthetic. It should be appreciated that a "polymer" can be a homopolymer, having only one type of repeating monomer, or a co-polymer, having more than one type of repeating monomer.
[0028] As used herein, "suitability" for removing ceria particles and CMP contaminants from a microelectronic device having said particles and contaminants thereon corresponds to at least partial removal of said particles/contaminants from the microelectronic device. Cleaning efficacy is rated by the reduction of objects on the microelectronic device. For example, pre- and post-cleaning analysis may be carried out using an atomic force microscope. The particles on the sample may be registered as a range of pixels. A histogram (e.g., a Sigma Scan Pro) may be applied to filter the pixels in a certain intensity (e.g., 231-235) and the number of particles counted. The particle reduction may be calculated using:
„„ (Number of PreClean Objects - Number of PostClean Objects) ,
Cleaning Efficacy = x 100
Number of PreClean Objects
Notably, the method of determination of cleaning efficacy is provided for example only and is not intended to be limited to same. Alternatively, the cleaning efficacy may be considered as a percentage of the total surface that is covered by particulate matter. For example, AFM's may be programmed to perform a z-plane scan to identify topographic areas of interest above a certain height threshold and then calculate the area of the total surface covered by said areas of interest. One skilled in the art would readily understand that the less area covered by said areas of interest post-cleaning, the more efficacious the removal composition. Preferably, at least 75% of the particles/contaminants are removed from the microelectronic device using the compositions described herein, more preferably at least 90%, even more preferably at least 95%, and most preferably at least 99% of the particles/contaminants are removed.
[0029] Compositions described herein may be embodied in a wide variety of specific formulations, as hereinafter more fully described.
[0030] In all such compositions, wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.00001 weight percent, based on the total weight of the composition in which such components are employed. [0031] In a first aspect, a removal composition is described, which, in some embodiments, is preferably an aqueous removal composition, comprising, consisting of, or consisting essentially of at least one pH adjusting agent, at least one reducing agent, at least one organic additive, optionally at least one complexing agent, optionally at least one polymer, and optionally at least one oxygen scavenger. In an embodiment of the first aspect, the removal composition comprises, consists of, or consists essentially of at least one pH adjusting agent, at least one reducing agent, and at least one organic additive. In another embodiment of the first aspect, the removal composition comprises, consists of, or consists essentially of at least one pH adjusting agent, at least one reducing agent, at least one organic additive, and at least one complexing agent. In yet another embodiment of the first aspect, the removal composition comprises, consists of, or consists essentially of at least one pH adjusting agent, at least one reducing agent, at least one organic additive, and at least one polymer. In still another embodiment of the first aspect, the removal composition comprises, consists of, or consists essentially of at least one pH adjusting agent, at least one reducing agent, at least one organic additive, and at least one oxygen scavenger. In another embodiment of the first aspect, the removal composition comprises, consists of, or consists essentially of at least one pH adjusting agent, at least one reducing agent, at least one organic additive, at least one complexing agent, and at least one oxygen scavenger. In still another embodiment of the first aspect, the removal composition comprises, consists of, or consists essentially of at least one pH adjusting agent, at least one reducing agent, at least one organic additive, at least one complexing agent, and at least one polymer. In yet another embodiment of the first aspect, the removal composition comprises, consists of, or consists essentially of at least one pH adjusting agent, at least one reducing agent, at least one organic additive, at least one polymer, and at least one oxygen scavenger. In another embodiment of the first aspect, the removal composition comprises, consists of, or consists essentially of at least one pH adjusting agent, at least one reducing agent, at least one organic additive, at least one complexing agent, at least one polymer, and at least one oxygen scavenger. It is understood by those skilled in the art that the aqueous cleaning compositions described herein further comprise water, preferably deionized water.
[0032] In each embodiment, the removal composition can be substantially devoid of at least one of oxidizing agents; fluoride-containing sources; surfactants; tetramethylammonium hydroxide; chemical mechanical polishing abrasive materials (e.g., silica, alumina, etc.); and corrosion inhibitors selected from the group consisting of cyanuric acid, barbituric acid and derivatives thereof, glucuronic acid, squaric acid, alpha-keto acids, adenosine and derivatives thereof, ribosylpurines and derivatives thereof, purine compounds and derivatives thereof, degradation products of adenosine and adenosine derivatives, triaminopyrimidine and other substituted pyrimidines, purine -saccharide complexes, phosphonic acid and derivatives thereof, phenanthroline, glycine, nicotinamide and derivatives thereof, flavonoids such as flavonols and anthocyanins and derivatives thereof, quercitin and derivatives thereof, and combinations thereof, prior to removal of residue material from the microelectronic device. In addition, the removal compositions should not solidify to form a polymeric solid, including, for example, a photoresist.
[0033] Complexing agents, when present, include species having the general formula NR¾2R3, wherein R1, R2 and R3 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chain or branched Ci-Ce alkyl (e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl) groups, straight-chain or branched Ci-Ce hydroxyalkyl (e.g., hydroxymethyl, hydroxyethyl, hydroxypropyl, hydroxybutyl, hydroxypentyl, and hydroxyhexyl) groups, and Ci-Ce alkyl ethers of straight chain or branched Ci-Ce hydroxyalkyl groups as defined above. Most preferably, at least one of R1, R2 and R3 is a straight-chain or branched Ci-Ce hydroxyalkyl group. Examples include, without limitation, alkanolamines such as aminoethylethanolamine, N- methylaminoethanol, aminoethoxyethanol, dimethylaminoethoxyethanol, diethanolamine, N- methyldiethanolamine, monoethanolamine (MEA), triethanolamine (TEA), l-amino-2-propanol, 2-amino-l-butanol, isobutanolamine, triethylenediamine, other Ci - Cs alkanolamines and combinations thereof. When the amine includes the alkylether component, the amine may be considered an alkoxyamine, e.g., l-methoxy-2-aminoethane. Alternatively, or in addition to the NR1R2R3 amine, the complexing agent may be a multi-functional amine including, but not limited to, 4-(2-hydroxyethyl)morpholine (HEM), l,2-cyclohexanediamine-N,N,N',N'-tetraacetic acid (CDTA), ethylenediaminetetraacetic acid (EDTA), m-xylenediamine (MXDA), iminodiacetic acid (IDA), 2-(hydroxyethyl)iminodiacetic acid (HIDA), nitrilotriacetic acid, thiourea, 1, 1,3,3- tetramethylurea, urea, urea derivatives, uric acid, alanine, arginine, asparagine, aspartic acid, cysteine, glutamic acid, glutamine, histidine, isoleucine, leucine, lysine, methionine, phenylalanine, proline, serine, threonine, tryptophan, tyrosine, valine, and combinations thereof. Alternatively, or in addition to the aforementioned complexing agents, additional complexing agents can include phosphonates (e.g., l-hydroxyethylidene-l, l-diphosphonic acid (HEDP), l,5,9-triazacyclododecane-N,N',N"- tris(methylenephosphonic acid) (DOTRP), l,4,7, 10-tetraazacyclododecane-N,N',N",N"'- tetrakis(methylenephosphonic acid) (DOTP), nitrilotris(methylene)triphosphonic acid, diethylenetriaminepentakis(methylenephosphonic acid) (DETAP), aminotri(methylenephosphonic acid), bis(hexamethylene)triamine pentamethylene phosphonic acid, 1,4,7-triazacyclononane- N,N',N"-tris(methylenephosphonic acid (NOTP), hydroxyethyldiphosphonate, nitrilotris(methylene)phosphonic acid, 2-phosphono-butane- l,2,3,4-tetracarboxylic, carboxyethyl phosphonic acid, aminoethyl phosphonic acid, glyphosate, ethylene diamine tetra(methylenephosphonic acid) phenylphosphonic acid, salts thereof, and derivatives thereof) and/or carboxylic acids (e.g., oxalic acid, succinnic acid, maleic acid, malic acid, malonic acid, adipic acid, phthalic acid, citric acid, sodium citrate, potassium citrate, ammonium citrate, tricarballylic acid, trimethylolpropionic acid, tartaric acid, glucuronic acid, 2-carboxypyridine) and/or sulfonic acids such as tiron (4,5-Dihydroxy-l,3-benzenedisulfonic acid disodium salt). Preferably, the at least one complexing agent comprises a species selected from the group consisting of monoethanolamine, triethanolamine, sulfuric acid, citric acid and combinations thereof. When present, the amount of complexing agent(s) in the removal composition is in a range from about 0.01 wt% to about 10 wt%, based on the total weight of the removal composition.
[0034] pH adjusting agents include acids and/or bases. Bases include, but are not limited to, alkali metal hydroxides (e.g., LiOH, KOH, RbOH, CsOH), alkaline earth metal hydroxides (e.g., Be(OH)2, Mg(OH)2, Ca(OH)2, Sr(OH)2, Ba(OH)2), ammonium hydroxide (i.e., ammonia), and a tetraalkylammonium hydroxide compound having the formula NR1R2R3R4OH, wherein R1, R2, R3 and R4 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chain or branched Ci-Ce alkyl (e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl) groups, Ci-Ce hydroxyalkyl (e.g., hydroxymethyl, hydroxyethyl, hydroxypropyl, hydroxybutyl, hydroxypentyl, and hydroxyhexyl) groups, and substituted or unsubstituted Ce-Cio aryl groups (e.g., benzyl groups). Tetraalkylammonium hydroxides that are commercially available include tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), tetrapropylammonium hydroxide (TP AH), tetrabutylammonium hydroxide (TBAH), tributylmethylammonium hydroxide (TBMAH), benzyltrimethylammonium hydroxide (BTMAH), choline hydroxide, ethyltrimethylammonium hydroxide, tris(2-hydroxyethyl)methyl ammonium hydroxide, diethyldimethylammonium hydroxide, and combinations thereof, may be used. Alternatively or in addition, the pH adjusting agent may be a quaternary base having the formula (PR1R2R3R4)OH, wherein R1, R2, R3, and R4 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chain Ci-Ce alkyl (e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl) groups, branched Ci-Ce alkyl groups, Ci-Ce hydroxyalkyl (e.g., hydroxymethyl, hydroxyethyl, hydroxypropyl, hydroxybutyl, hydroxypentyl, and hydroxyhexyl) groups, substituted C6-C10 aryl groups, unsubstituted C6-C10 aryl groups (e.g., benzyl groups), and any combination thereof, such as tetrabutylphosphonium hydroxide (TBPH), tetramethylphosphonium hydroxide, tetraethylphosphonium hydroxide, tetrapropylphosphonium hydroxide, benzyltriphenylphosphonium hydroxide, methyl triphenylphosphonium hydroxide, ethyl triphenylphosphonium hydroxide, N-propyl triphenylphosphonium hydroxide. Acids include, but are not limited to, nitric acid, sulfuric acid, phosphoric acid, hydrochloric acid, hydrobromic acid, methanesulfonic acid, benzene sulfonic acid, p-toluenesulfonic acid, trifluoromethanesulfonic acid, acetic acid, lactic acid, gly colic acid, and any combination thereof. In one embodiment, the pH adjusting agent comprises KOH. In another embodiment, the pH adjusting agent comprises choline hydroxide. In yet another embodiment, the pH adjusting agent comprises ammonium hydroxide. In another embodiment, the pH adjusting agent comprises at least one alkali metal hydroxide and at least one additional hydroxide enumerated herein. In another embodiment, the pH adjusting agent comprises KOH and at least one additional hydroxide enumerated herein. In still another embodiment, the pH adjusting agent comprises KOH and at least one of choline hydroxide and ammonium hydroxide. To any of these enumerated embodiments, the pH adjusting agent can further include at least one acid, for example, sulfuric acid, citric acid, or a combination of citric acid and sulfuric acid.
[0035] Organic additives contemplated include, but are not limited to, 2-pyrrolidinone, l-(2- hydroxyethyl)-2-pyrrolidinone (HEP), glycerol, 1,4-butanediol, tetramethylene sulfone (sulfolane), dimethyl sulfone, ethylene glycol, propylene glycol, dipropylene glycol, tetraglyme, diglyme, methyl isobutyl ketone, methyl ethyl ketone, acetone, isopropanol, octanol, ethanol, butanol, methanol, isophorone, a glycol ether (e.g., diethylene glycol monomethyl ether, triethylene glycol monomethyl ether, diethylene glycol monoethyl ether, triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether (DEGBE), triethylene glycol monobutyl ether (TEGBE), ethylene glycol monohexyl ether (EGHE), diethylene glycol monohexyl ether (DEGHE), ethylene glycol phenyl ether, diethylene glycol phenylether, propylene glycol methyl ether, dipropylene glycol methyl ether (DPGME), tripropylene glycol methyl ether (TPGME), dipropylene glycol dimethyl ether, dipropylene glycol ethyl ether, propylene glycol n-propyl ether, dipropylene glycol n-propyl ether (DPGPE), tripropylene glycol n-propyl ether, propylene glycol n-butyl ether (such as DOWANOL PnB), dipropylene glycol n-butyl ether, tripropylene glycol n-butyl ether, dipropylene glycol phenyl ether, propylene glycol phenyl ether (PPh, such as DOWANOL PPh), 4-methyl-2-pentanone, 2,4-dimethyl-3-pentanone, cyclohexanone, 5-methyl-3-heptanone, 3-pentanone, 5-hydroxy-2-pentanone, 2,5-hexanedione, 4-hydroxy-4-methyl- 2-pentanone, acetone, butanone, 2-methyl-2-butanone, 3,3-dimethyl-2-butanone, 4-hydroxy-2- butanone, cyclopentanone, 2-pentanone, 3-pentanone, 1-phenylethanone, acetophenone, benzophenone, 2-hexanone, 3-hexanone, 2-heptanone, 3-heptanone, 4-heptanone, 2,6-dimethyl-4- heptanone, 2-octanone, 3-octanone, 4-octanone, dicyclohexyl ketone, 2,6-dimethylcyclohexanone, 2- acetylcyclohexanone, 2,4-pentanedione, menthone, monoethanolamine (MEA) and combinations thereof. In a preferred embodiment, the at least one organic additive in the removal composition comprises at least one glycol ether comprising propylene glycol phenyl ether.
[0036] Polymers, when present, include, but are not limited to, methacrylic acid homopolymer and copolymers with, for example, acrylamidomethylpropane sulfonic acid and maleic acid; maleic acid/vinyl ether copolymer; polyvinylpyrrolidone )/vinyl acetate; homopolymers such as phosphonated polyethyleneglycol oligomers, poly(acrylic acid) (PAA), poly(acrylamide), poly(vinyl acetate), poly(ethylene glycol) (PEG), poly (propylene glycol) (PPG), poly(styrene sulfonic acid), poly(vinyl sulfonic acid), poly(vinyl phosphonic acid), poly(vinyl phosphoric acid), poly(ethyleneimine), poly(propyleneimine), polyallylamine, polyethylene oxide (PEO), polyvinyl pyrrolidone (PVP); PPG-PEG-PPG block copolymers; PEG-PPG-PEG block copolymers; and combinations thereof. When present, the amount of polymer(s) in the removal composition is in a range from about 0.0001 wt% to about 1 wt%, based on the total weight of the removal composition.
[0037] Oxygen scavengers, when present, include, but are not limited to, dialkylhydroxylamines, hydroxyalkylhydroxylamines, carbohydrazide, 2-aminoethylpiperazine (AEP), methylethylketoxime, hydroquinone, hydrazines, 1,3-, 1,4- and 2,5-cyclohexanediones alone or in combination with 3- methoxypropylamine, aminophenols, linear water-soluble polyethyleneamines (e.g., triethylenetetramine, tetraethylenepentamine, pentaethylenehexamines), sodium sulfite, ammonium sulfite, potassium sulfite, and combinations thereof. Preferably, the oxygen scavenger includes carbohydrazide, 2-aminoethylpiperazine (AEP), methylethylketoxime, hydroquinone, hydrazines, 1,3-, 1,4- and 2,5-cyclohexanediones alone or in combination with 3-methoxypropylamine, aminophenols, linear water-soluble polyethyleneamines such as triethylenetetramine, tetraethylenepentamine, pentaethylenehexamines, and combinations thereof. Without being bound by theory, it is thought that the oxygen scavengers assist with the stabilization of the reducing agent. When present, the amount of oxygen scavenger(s) in the removal composition is in a range from about 0.0001 wt% to about 1 wt%, based on the total weight of the removal composition.
[0038] Reducing agents include, but are not limited to, ascorbic acid, L(+)-ascorbic acid, isoascorbic acid, ascorbic acid derivatives, sulfurous acid, ammonium sulfite, potassium sulfite, sodium sulfite, dopamine HC1, phosphorous acid, phosphinic acid, potassium metabisulfite, sodium metabisulfite, ammonium metabisulfite, hydroxylamine, reducing sugars (e.g., galactose, ribose, arabinose, xylose, fucose, rhamnose, mannose, fructose, sorbose, galacturonic acid, glucosamine, maltose, lactose), potassium pyruvate, sodium pyruvate, ammonium pyruvate, formic acid, sodium formate, potassium formate, ammonium formate, dopamine, sulfur dioxide solution, and any combination thereof. Preferably, the at least one reducing agent comprises at least one sulfite ion and at least one other enumerated reducing agent, for example, sulfurous acid, potassium sulfite, ammonium sulfite, phosphinic acid, and any combination thereof. It is to be appreciated that when ammonium sulfite is present, the ammonium sulfite can be produced in situ, wherein the combination of specific components results in the formation of ammonium sulfite to assist with the removal of residue, e.g., ceria particles and other post-CMP residue.
[0039] An aqueous removal composition, including the components described above, is particularly useful for removing ceria particles and contaminants (e.g., post-CMP residue and contaminants) from a microelectronic device structure. The pH of the aqueous removal compositions described herein can be in a range from about 1 to about 13.9. In one embodiment, the pH is in a range from about 3 to about 13.9, preferably about 3.5 to about 13.9. In another embodiment, the pH is in a range from about 3.5 to about 5.5. In still another embodiment, the pH is in a range from about 4.5 to about 8.5, preferably about 7.5 to 8.5. In another embodiment, the pH is in a range from about 9 to about 13.7.
[0040] In a particularly preferred embodiment, the aqueous removal composition comprises, consists of, or consists essentially of reducing agent(s), at least one pH adjusting agent, at least one organic additive, and water. In still another embodiment, the aqueous removal composition comprises, consists of, or consists essentially of a compound comprising a sulfite ion, at least one pH adjusting agent, at least one organic additive, and water. In still another embodiment, the aqueous removal composition comprises, consists of, or consists essentially of reducing agent(s), at least one pH adjusting agent, propylene glycol phenyl ether, and water. In yet another embodiment, the aqueous removal composition comprises, consists of, or consists essentially of a compound comprising a sulfite ion, at least one pH adjusting agent, propylene glycol phenyl ether, and water. In another embodiment, the aqueous removal composition comprises, consists of, or consists essentially of reducing agent(s), at least one complexing agent, at least one pH adjusting agent, at least one organic additive, and water. In another embodiment, the aqueous removal composition comprises, consists of, or consists essentially of a compound comprising a sulfite ion, at least one complexing agent, at least one pH adjusting agent, at least one organic additive, and water. In still another embodiment, the aqueous removal composition comprises, consists of, or consists essentially of a compound comprising a sulfite ion, citric acid, at least one pH adjusting agent, at least one organic additive, and water. In yet another embodiment, the aqueous removal composition comprises, consists of, or consists essentially of a compound comprising a sulfite ion, citric acid, at least one pH adjusting agent, propylene glycol phenyl ether, and water. In another embodiment, the aqueous removal composition comprises, consists of, or consists essentially of reducing agent(s) (e.g., a compound comprising a sulfite ion), at least one pH adjusting agent, at least one organic additive, at least one oxygen scavenger, and water. In still another embodiment, the aqueous removal composition comprises, consists of, or consists essentially of reducing agent(s) (e.g., a compound comprising a sulfite ion), at least one pH adjusting agent, at least one organic additive, at least one complexing agent (e.g., citric acid), at least one oxygen scavenger, and water.
[0041] With regards to compositional amounts, the weight percent ratios of organic additive(s) to reducing agent(s) is in a range from about 0.001 : 1 to about 10: 1, preferably about 0.1 : 1 to about 5: 1. The amount of the pH adjusting agent is dependent on the final pH sought when preparing the removal composition for use, based on the pH values disclosed herein, and the knowledge of the person skilled in the art.
[0042] The range of weight percent ratios of the components will cover all possible concentrated or diluted embodiments of the composition. Towards that end, in one embodiment, a concentrated removal composition is provided that can be diluted for use as a cleaning solution. A concentrated composition, or "concentrate," advantageously permits a user (e.g. a CMP process engineer) to dilute the concentrate to the desired strength and pH at the point of use. Dilution of the concentrated aqueous removal composition may be in a range from about 1 : 1 to about 2500: 1, preferably about 5: 1 to about 200: 1, and most preferably about 20: 1 to about 120: 1, wherein the aqueous removal composition is diluted at or just before the tool with solvent, e.g., deionized water. It is to be appreciated by one skilled in the art that following dilution, the range of weight percent ratios of the components disclosed herein should remain unchanged.
[0043] The compositions described herein may have utility in applications including, but not limited to, post-etch residue removal, post-ash residue removal surface preparation, post-plating cleaning and post-CMP residue removal. In addition, it is contemplated that the aqueous cleaning compositions described herein may be useful for the cleaning and protection of other metal (e.g., copper-containing and tungsten-containing) products including, but not limited to, decorative metals, metal wire bonding, printed circuit boards and other electronic packaging using metal or metal alloys.
[0044] In yet another preferred embodiment, the aqueous removal compositions described herein further includes ceria particles and/or CMP contaminants. The ceria particles and contaminants become a component of the removal composition after cleaning has begun and will be dissolved and/or suspended in the compositions.
[0045] The aqueous removal compositions are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the compositions may be readily formulated as single -package formulations or multi-part formulations that are mixed at or before the point of use, e.g., the individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool. The concentrations of the respective ingredients may be widely varied in specific multiples of the composition, i.e., more dilute or more concentrated, and it will be appreciated that the compositions described herein can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.
[0046] Accordingly, another aspect relates to a kit including, in one or more containers, one or more components adapted to form the compositions described herein. The kit may include, in one or more containers, at least one pH adjusting agent, at least one reducing agent, at least one organic additive, water, optionally at least one complexing agent, optionally at least one polymer, and optionally at least one oxygen scavenger, for combining with additional solvent (e.g., water) at the fab or the point of use. Alternatively, the kit may include, in one or more containers, at least one reducing agent, at least one organic additive, water, optionally at least one complexing agent, optionally at least one polymer, and optionally at least one oxygen scavenger, for combining with at least one pH adjusting agent and additional solvent (e.g., water) at the fab or the point of use. The containers of the kit must be suitable for storing and shipping the compositions and may be, for example, NOWPak® containers (Entegris, Inc., Billerica, Mass., USA).
[0047] The one or more containers which contain the components of the aqueous removal composition preferably include means for bringing the components in said one or more containers in fluid communication for blending and dispense. For example, referring to the NOWPak® containers, gas pressure may be applied to the outside of a liner in said one or more containers to cause at least a portion of the contents of the liner to be discharged and hence enable fluid communication for blending and dispense. Alternatively, gas pressure may be applied to the head space of a conventional pressurizable container or a pump may be used to enable fluid communication. In addition, the system preferably includes a dispensing port for dispensing the blended removal composition to a process tool.
[0048] As applied to microelectronic manufacturing operations, the aqueous removal compositions described herein are usefully employed to clean ceria particles and/or CMP contaminants (e.g., post- CMP residue and contaminants) from the surface of the microelectronic device. The aqueous removal compositions do not damage low-k dielectric materials (e.g., silicon oxide), silicon nitride layers, or tungsten-containing layers on the device surface. Preferably the aqueous removal compositions remove at least 85 % of the ceria particles present on the device prior to particle removal, more preferably at least 90 %, even more preferably at least 95 %, and most preferably at least 99%.
[0049] In post-CMP particle and contaminant removal applications, the aqueous removal composition described herein may be used with a large variety of conventional cleaning tools such as megasonics and brush scrubbing, including, but not limited to, Verteq single wafer megasonic Goldfinger, OnTrak systems DDS (double-sided scrubbers), SEZ or other single wafer spray rinse, Applied Materials Mirra-Mesa™ /Reflexion™/Reflexion LK™, and Megasonic batch wet bench systems.
[0050] In use of the compositions described herein for removing ceria particles and CMP contaminants from microelectronic devices having same thereon, the aqueous removal composition typically is contacted with the device for a time of from about 5 sec to about 10 minutes, preferably about 1 sec to 20 min, preferably about 15 sec to about 5 min at temperature in a range of from about 20°C to about 90°C, preferably about 20°C to about 50°C. Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to at least partially remove the ceria particles and CMP contaminants from the device, within the broad practice of the method. "At least partially clean" and "substantial removal" both correspond to at removal of at least 85 % of the ceria particles present on the device prior to particle removal, more preferably at least 90 %, even more preferably at least 95 %, and most preferred at least 99 %
[0051] Following the achievement of the desired particle removal action, the aqueous removal composition may be readily removed from the device to which it has previously been applied, as may be desired and efficacious in a given end use application of the compositions described herein. Preferably, the rinse solution includes deionized water. Thereafter, the device may be dried using nitrogen or a spin-dry cycle.
[0052] Yet another aspect relates to the improved microelectronic devices made according to the methods described herein and to products containing such microelectronic devices.
[0053] Another aspect relates to a recycled aqueous removal composition, wherein the removal composition may be recycled until particle and/or contaminant loading reaches the maximum amount the aqueous removal composition may accommodate, as readily determined by one skilled in the art.
[0054] A still further aspect relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with an aqueous removal composition for sufficient time to remove ceria particles and CMP contaminants from the microelectronic device having said particles and contaminants thereon, and incorporating said microelectronic device into said article, using a removal composition described herein. [0055] In another aspect, a method of removing ceria particles and CMP contaminants from a microelectronic device having same thereon is described. The method comprises polishing the microelectronic device with a CMP slurry, wherein the CMP slurry comprises ceria particles; contacting the microelectronic device with an aqueous removal composition comprising at least one pH adjusting agent, at least one reducing agent, at least one organic additive, water, optionally at least one complexing agent, optionally at least one polymer, and optionally at least one oxygen scavenger, for a sufficient time to remove ceria particles and CMP contaminants from the microelectronic device to form a post-CMP particle -containing composition; and continuously contacting the microelectronic device with the post-CMP particle-containing composition for a sufficient amount of time to effect substantial cleaning of the microelectronic device. Any of the components described above may be used in the present method of removing ceria particles and CMP contaminants.
[0056] Another aspect relates to an article of manufacture comprising an aqueous removal composition, a microelectronic device wafer, and material selected from the group consisting of ceria particles, CMP contaminants and combinations thereof, wherein the removal composition comprises at least one pH adjusting agent, at least one reducing agent, at least one organic additive, water, optionally at least one complexing agent, optionally at least one polymer, and optionally at least one oxygen scavenger.
[0057] The features and advantages of the invention are more fully illustrated by the following non- limiting examples, wherein all parts and percentages are by weight, unless otherwise expressly stated.
Example 1
[0058] The removal compositions shown below were prepared (the balance in each formulation was DI water) and diluted 100: 1 with water. The process involved immersing a TEOS substrate in a ceria- containing slurry for 5 minutes, rinsing the substrate in DI water for 30 seconds, immersing the substrate in the removal composition for 60 seconds, and then rinsing with a second DI water rinse for 30 seconds. The temperature during immersion was room temperature. The extent of cleaning was determined using Scanning Electron Microscopy (SEM), Inductively Coupled Plasma Mass Spectrometry (ICP-MS), and Dark Field Microscopy (DFM), and compared to Comparative Formulation 1.
Formulation A: 2 wt% citric acid, 2 wt % propylene glycol phenyl ether, balance water. pH after adjustment and 1 : 100 dilution = 2.5
Formulation B: 2 wt% citric acid, 2 wt% sodium sulfite, balance water. pH after adjustment and 1 : 100 dilution = 2.6
Formulation C: 2 wt% citric acid, 2 wt % propylene glycol phenyl ether, 2 wt% sodium sulfite, balance water. pH after adjustment and 1 : 100 dilution = 2.6
Comparative Formulation 1 : NHtOFtfhC ifhO = 1 : 1 :5 [0059] DFM data indicates the total area of ceria contamination after cleaning. Formulations A-C were all found to be more efficient at cleaning than Comparative Formulation 1. In particular, Formulation C was found to be 3.5x more efficient the comparative formulation and 2x more efficient than Formulation A.
[0060] Based on the effectiveness of Formulation C, additional compositions were prepared and the DFM data determined, as shown in Table 1. The balance in each formulation was DI water. KOH was added to adjust pH to the target pH. The formulations were diluted 100: 1 with water and a TEOS substrate comprising ceria-containing slurry was immersed therein for 5 minutes at room temperature.
Table 1 : Formulations and DFM data
Figure imgf000016_0001
[0061] It was found that Formulation J had 150x improved performance relative to Comparative Formulation 1. Formulations D, F, H, I, and N-R were also more efficient than the comparative formulation at cleaning. Of note, these formulations had a higher pH, in a range from 4-6.
Example 2
[0062] The removal compositions shown below were prepared (the balance in each formulation was DI water) and diluted 100: 1 with water. The process involved immersing a TEOS substrate in a ceria- containing slurry for 5 minutes, rinsing the substrate in DI water for 30 seconds, immersing the substrate in the removal composition for 60 seconds, and then rinsing with a second DI water rinse for 30 seconds. The temperature during immersion was room temperature. The extent of cleaning was determined using Scanning Electron Microscopy (SEM), Inductively Coupled Plasma Mass Spectrometry (ICP-MS), and Dark Field Microscopy (DFM). In particular, Table 2 shows DMF data for each removal composition. Table 2: Formulations and DFM data
Figure imgf000017_0001
[0063] Although the invention has been variously disclosed herein with reference to illustrative embodiments and features, it will be appreciated that the embodiments and features described hereinabove are not intended to limit the invention, and that other variations, modifications and other embodiments will suggest themselves to those of ordinary skill in the art, based on the disclosure herein. The invention therefore is to be broadly construed, as encompassing all such variations, modifications and alternative embodiments within the spirit and scope of the claims hereafter set forth.

Claims

What is claimed is:
1. An aqueous removal composition comprising at least one pH adjusting agent, at least one reducing agent, at least one organic additive, and water, wherein the aqueous removal composition is suitable for removing ceria particles and chemical mechanical polishing (CMP) contaminants from a microelectronic device having said particles and CMP contaminants thereon.
2. The aqueous removal composition of claim 1, wherein the ceria particles are cerium oxide having the formula Ce2C>3 and CeC .
3. The aqueous removal composition of claim 1, wherein the at least one pH adjusting agent is an alkali metal hydroxide, ammonium hydroxide, choline hydroxide, or a tetraalkylammonium hydroxide.
4. The aqueous removal composition of claim 1, wherein the at least one pH adjusting agent is sulfuric acid, citric acid, or combinations thereof.
5. The aqueous removal composition of claim 1, wherein the at least one organic additive comprises a glycol ether.
6. The aqueous removal composition of claim 1, wherein the at least one organic additive is a propylene glycol phenyl ether.
7. The aqueous removal composition of claim 1, wherein the at least one reducing agent comprises at least one sulfite ion.
8. The aqueous removal composition of claim 7, further comprising sulfurous acid, potassium sulfite, ammonium sulfite, phosphinic acid, or combinations thereof.
9. The aqueous removal composition of claim 1, further comprising at least one oxygen scavenger.
10. The aqueous removal composition of claim 9, wherein the at least one oxygen scavenger is carbohydrazide, 2-aminoethylpiperazine (AEP), methylethylketoxime, hydroquinone, hydrazines, or 1,3-, 1,4- or 2,5-cyclohexanediones.
1 1. The aqueous removal composition of claim 1, further comprising at least one complexing agent.
12. The aqueous removal composition of claim 1 1, wherein the at least one complexing agent is an alkanolamine.
13. The aqueous removal composition of claim 1, further comprising at least one polymer.
14. The aqueous removal composition of claim 13, wherein the at least one polymer is poly(methacrylic acid) or poly (acrylic acid).
15. The aqueous removal composition of claim 1, wherein the microelectronic device comprises a silicon nitride and low-k dielectric layers.
16. A method of removing ceria particles and CMP contaminants from a microelectronic device having said particles and contaminants thereon, said method comprising
contacting the microelectronic device with an aqueous removal composition comprising at least one pH adjusting agent, at least one reducing agent, at least one organic additive, and water; and at least partially cleaning said particles and contaminants from the microelectronic device, wherein the microelectronic device comprises a silicon nitride and low-k dielectric layers..
17. The method of claim 16, wherein the aqueous removal composition further comprises at least one oxygen scavenger, at least one complexing agent, or at least one polymer.
18. The method of claim 16, wherein the aqueous removal composition does not substantially damage the silicon nitride or the low-k dielectric layers.
19. The method of any of claim 16, further comprising diluting the aqueous removal composition with a solvent at or before a point of use, wherein the solvent comprises water.
20. A kit comprising one or more containers having components therein suitable for removing ceria particles and chemical mechanical polishing (CMP) contaminants from a microelectronic device having said particles and CMP contaminants thereon, wherein one or more containers of the kit contains at least one pH adjusting agent, at least one reducing agent, at least one organic additive, and water.
PCT/US2018/014049 2017-01-18 2018-01-17 Compositions and methods for removing ceria particles from a surface WO2018136511A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
CN201880007539.6A CN110234719A (en) 2017-01-18 2018-01-17 For the composition and method from surface removal cerium oxide particles
KR1020247015873A KR20240074891A (en) 2017-01-18 2018-01-17 Composition and Methods for removing ceria particles from a surface
KR1020217021809A KR20210090294A (en) 2017-01-18 2018-01-17 Composition and Methods for removing ceria particles from a surface
KR1020197020532A KR20190094426A (en) 2017-01-18 2018-01-17 Compositions and Methods for Removing Ceria Particles from a Surface
JP2019538379A JP2020504460A (en) 2017-01-18 2018-01-17 Compositions and methods for removing ceria particles from surfaces

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762447729P 2017-01-18 2017-01-18
US62/447,729 2017-01-18

Publications (1)

Publication Number Publication Date
WO2018136511A1 true WO2018136511A1 (en) 2018-07-26

Family

ID=61163808

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2018/014049 WO2018136511A1 (en) 2017-01-18 2018-01-17 Compositions and methods for removing ceria particles from a surface

Country Status (6)

Country Link
US (1) US11164738B2 (en)
JP (2) JP2020504460A (en)
KR (3) KR20240074891A (en)
CN (1) CN110234719A (en)
TW (1) TWI710629B (en)
WO (1) WO2018136511A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020123112A1 (en) * 2018-12-10 2020-06-18 Entegris, Inc. Post chemical mechanical polishing cleaning compositions
WO2020163506A1 (en) 2019-02-08 2020-08-13 Entegris, Inc. Ceria removal compositions
WO2020171003A1 (en) 2019-02-19 2020-08-27 三菱ケミカル株式会社 Cleaning liquid for removing cerium compounds, cleaning method, and method for producing semiconductor wafer

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110249041A (en) * 2017-02-10 2019-09-17 富士胶片电子材料美国有限公司 Cleaning agent
US11085011B2 (en) * 2018-08-28 2021-08-10 Entegris, Inc. Post CMP cleaning compositions for ceria particles
CN112996893A (en) * 2018-11-08 2021-06-18 恩特格里斯公司 POST chemical mechanical polishing (POST CMP) cleaning composition
KR20200082007A (en) * 2018-12-28 2020-07-08 현대자동차주식회사 Antioxidant For Fuel Cell, Membrane Electrode Assembly Comprising The Antioxidant and Method For Preparing The Antioxidant
WO2021188766A1 (en) 2020-03-19 2021-09-23 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions and methods of use thereof
KR102677822B1 (en) * 2020-09-25 2024-06-25 가부시키가이샤 후지미인코퍼레이티드 Efficient post-cmp defect reduction using cleaners containing oxidizing agents
CN112625808B (en) * 2020-12-29 2022-08-16 万津实业(赤壁)有限公司 Acidic cleaning agent and preparation method and application thereof
WO2023282287A1 (en) * 2021-07-08 2023-01-12 株式会社日本触媒 Cleaning agent composition for post-cmp step

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130244432A1 (en) * 2012-03-14 2013-09-19 Cabot Microelectronics Corporation Cmp compositions selective for oxide and nitride with high removal rate and low defectivity
WO2015095175A1 (en) * 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
WO2015119925A1 (en) * 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6443812B1 (en) * 1999-08-24 2002-09-03 Rodel Holdings Inc. Compositions for insulator and metal CMP and methods relating thereto
JP2002069495A (en) * 2000-06-16 2002-03-08 Kao Corp Detergent composition
US7468105B2 (en) * 2001-10-16 2008-12-23 Micron Technology, Inc. CMP cleaning composition with microbial inhibitor
KR100634401B1 (en) * 2004-08-03 2006-10-16 삼성전자주식회사 Method of treatment a substrate incorporated in semiconductor fabricating process
CN102981377B (en) * 2005-06-07 2014-11-12 高级技术材料公司 Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
JP2007220891A (en) * 2006-02-16 2007-08-30 Toshiba Corp Post cmp processing liquid and semiconductor device manufacturing method using the same
JP4140923B2 (en) * 2006-03-31 2008-08-27 花王株式会社 Cleaning composition
JP5237300B2 (en) * 2006-12-21 2013-07-17 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Liquid cleaning agent to remove residues after etching
US20090133716A1 (en) * 2007-10-29 2009-05-28 Wai Mun Lee Methods of post chemical mechanical polishing and wafer cleaning using amidoxime compositions
US8420529B2 (en) * 2008-09-19 2013-04-16 Mitsubishi Gas Chemical Company, Inc. Copper wiring surface protective liquid and method for manufacturing semiconductor circuit
JP5410943B2 (en) * 2008-12-18 2014-02-05 三洋化成工業株式会社 Electronic material cleaner
WO2010070819A1 (en) * 2008-12-19 2010-06-24 三洋化成工業株式会社 Cleaning agent for electronic materials
US8754021B2 (en) * 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
SG182789A1 (en) * 2010-01-29 2012-09-27 Advanced Tech Materials Cleaning agent for semiconductor provided with metal wiring
WO2012097143A2 (en) * 2011-01-13 2012-07-19 Advanced Technology Materials, Inc. Formulations for the removal of particles generated by cerium- containing solutions
KR101925272B1 (en) * 2011-03-21 2019-02-27 바스프 에스이 Aqueous, nitrogen-free cleaning composition, preparation and use thereof
US20130053291A1 (en) * 2011-08-22 2013-02-28 Atsushi Otake Composition for cleaning substrates post-chemical mechanical polishing
JP6066552B2 (en) * 2011-12-06 2017-01-25 関東化學株式会社 Cleaning composition for electronic devices
EP2812422B1 (en) * 2012-02-06 2019-08-07 Basf Se A post chemical-mechanical-polishing (post-cmp) cleaning composition comprising a specific sulfur-containing compound and a sugar alcohol
US9058976B2 (en) * 2012-11-06 2015-06-16 International Business Machines Corporation Cleaning composition and process for cleaning semiconductor devices and/or tooling during manufacturing thereof
EP2971248B1 (en) * 2013-03-15 2021-10-13 CMC Materials, Inc. Aqueous cleaning composition for post copper chemical mechanical planarization
US20160122696A1 (en) * 2013-05-17 2016-05-05 Advanced Technology Materials, Inc. Compositions and methods for removing ceria particles from a surface
TWI673357B (en) * 2016-12-14 2019-10-01 美商卡博特微電子公司 Composition and method for removing residue from chemical-mechanical planarization substrate

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130244432A1 (en) * 2012-03-14 2013-09-19 Cabot Microelectronics Corporation Cmp compositions selective for oxide and nitride with high removal rate and low defectivity
WO2015095175A1 (en) * 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
WO2015119925A1 (en) * 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11124740B2 (en) 2018-12-10 2021-09-21 Entegris, Inc. Post chemical mechanical polishing cleaning compositions
KR20210071090A (en) * 2018-12-10 2021-06-15 엔테그리스, 아이엔씨. Cleaning composition after chemical mechanical polishing
CN113166684A (en) * 2018-12-10 2021-07-23 恩特格里斯公司 post-CMP cleaning composition
WO2020123112A1 (en) * 2018-12-10 2020-06-18 Entegris, Inc. Post chemical mechanical polishing cleaning compositions
JP2022513197A (en) * 2018-12-10 2022-02-07 インテグリス・インコーポレーテッド Cleaning composition after chemical mechanical polishing
JP7249414B2 (en) 2018-12-10 2023-03-30 インテグリス・インコーポレーテッド Post-Chemical Mechanical Polishing Cleaning Composition
KR102545630B1 (en) * 2018-12-10 2023-06-21 엔테그리스, 아이엔씨. Cleaning composition after chemical mechanical polishing
WO2020163506A1 (en) 2019-02-08 2020-08-13 Entegris, Inc. Ceria removal compositions
KR20210101333A (en) * 2019-02-08 2021-08-18 엔테그리스, 아이엔씨. ceria removal composition
US11124741B2 (en) 2019-02-08 2021-09-21 Entegris, Inc. Ceria removal compositions
KR102659845B1 (en) * 2019-02-08 2024-04-24 엔테그리스, 아이엔씨. Ceria removal composition
WO2020171003A1 (en) 2019-02-19 2020-08-27 三菱ケミカル株式会社 Cleaning liquid for removing cerium compounds, cleaning method, and method for producing semiconductor wafer
KR20210129049A (en) 2019-02-19 2021-10-27 미쯔비시 케미컬 주식회사 Cleaning liquid for cerium compound removal, cleaning method, and semiconductor wafer manufacturing method

Also Published As

Publication number Publication date
TW201831666A (en) 2018-09-01
KR20210090294A (en) 2021-07-19
KR20240074891A (en) 2024-05-28
US11164738B2 (en) 2021-11-02
KR20190094426A (en) 2019-08-13
TWI710629B (en) 2020-11-21
CN110234719A (en) 2019-09-13
JP7443300B2 (en) 2024-03-05
JP2021192429A (en) 2021-12-16
JP2020504460A (en) 2020-02-06
US20180204736A1 (en) 2018-07-19

Similar Documents

Publication Publication Date Title
JP7443300B2 (en) Compositions and methods for removing ceria particles from surfaces
US11845917B2 (en) Compositions and methods for post-CMP cleaning of cobalt substrates
US10351809B2 (en) Post chemical mechanical polishing formulations and method of use
TWI703210B (en) Post chemical mechanical polishing formulations and method of use
TWI821455B (en) Post chemical mechanical polishing cleaning compositions
US11124746B2 (en) Post CMP cleaning composition
US20230323248A1 (en) Post cmp cleaning composition
US20230159866A1 (en) Microelectronic device cleaning composition
US20230295537A1 (en) Microelectronic device cleaning composition

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 18703414

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20197020532

Country of ref document: KR

Kind code of ref document: A

ENP Entry into the national phase

Ref document number: 2019538379

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 18703414

Country of ref document: EP

Kind code of ref document: A1