WO2014028140A1 - Method of making a three-dimensional memory array with etch stop - Google Patents

Method of making a three-dimensional memory array with etch stop Download PDF

Info

Publication number
WO2014028140A1
WO2014028140A1 PCT/US2013/049758 US2013049758W WO2014028140A1 WO 2014028140 A1 WO2014028140 A1 WO 2014028140A1 US 2013049758 W US2013049758 W US 2013049758W WO 2014028140 A1 WO2014028140 A1 WO 2014028140A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
semiconductor channel
etch stop
stop layer
etch
Prior art date
Application number
PCT/US2013/049758
Other languages
French (fr)
Inventor
Yao-Sheng Lee
Johann Alsmeier
Original Assignee
SanDisk Technologies, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SanDisk Technologies, Inc. filed Critical SanDisk Technologies, Inc.
Priority to EP13739909.3A priority Critical patent/EP2885815A1/en
Priority to KR1020157002084A priority patent/KR101991147B1/en
Priority to CN201380041601.0A priority patent/CN104520992A/en
Publication of WO2014028140A1 publication Critical patent/WO2014028140A1/en

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7889Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND

Definitions

  • the present invention relates generally to the field of semiconductor devices and specifically to three dimensional vertical NAND strings and other three dimensional devices and methods of making thereof.
  • An embodiment relates to a three dimensional memory device including a substrate and a semiconductor channel. At least one end portion of the semiconductor channel extends substantially perpendicular to a major surface of the substrate.
  • the device also includes at least one charge storage region located adjacent to semiconductor channel and a plurality of control gate electrodes having a strip shape extending substantially parallel to the major surface of the substrate.
  • the plurality of control gate electrodes include at least a first control gate electrode located in a first device level and a second control gate electrode located in a second device level located over the major surface of the substrate and below the first device level.
  • the device also includes an etch stop layer located between the substrate and the plurality of control gate electrodes.
  • Another embodiment relates to a method of making a monolithic three dimensional NAND string.
  • the method includes forming a sacrificial feature over a substrate, forming an etch stop layer over the sacrificial feature and forming a stack of alternating layers of a first material and a second material over the substrate.
  • the first material includes a conductive or semiconductor control gate material and the second material includes an insulating material.
  • the method also includes etching the stack to form a slit trench up to or only partially through the etch stop layer, filling the slit trench with a sacrificial material and etching the stack to form at least one opening in the stack at least to the etch stop layer using a first etch chemistry.
  • the method also includes further etching the at least one opening through the etch stop layer to the sacrificial feature using a second etch chemistry in which the second etch chemistry is different from the first etch chemistry.
  • FIG. 1A is a schematic side cross sectional view illustrating a step in a method of making a three dimensional memory device according to an embodiment.
  • FIG. IB is a schematic side cross sectional view illustrating another step in the method of making a three dimensional memory device according to an embodiment.
  • FIG. 1C is a schematic side cross sectional view illustrating another step in the method of making a three dimensional memory device according to an embodiment.
  • FIG. ID is a schematic side cross sectional view illustrating another step in the method of making a three dimensional memory device according to an embodiment.
  • FIG. IE is a schematic side cross sectional view illustrating another step in the method of making a three dimensional memory device according to an embodiment.
  • FIG. IF is a schematic side cross sectional view illustrating another step in the method of making a three dimensional memory device according to an embodiment.
  • FIG. 2 is a plan view a memory device according to an embodiment. Also illustrated is a support mask layout used in the method of FIG. 1.
  • FIG. 3 is a side cross sectional view of a vertical NAND string according to an embodiment.
  • FIG. 4 is a plan view of a memory device according to an embodiment.
  • FIG. 5 is a schematic cross sectional side view illustrating a three dimensional memory device according to another embodiment.
  • FIG. 6 is a schematic cross sectional side view illustrating a three dimensional memory device according to another embodiment.
  • FIG. 7 is a schematic cross sectional side view illustrating an embodiment of a three dimensional memory device with two etch stops.
  • Embodiments include monolithic three dimensional NAND strings and methods of making three dimensional NAND strings.
  • the NAND string may have a U-shape (also known as a "pipe" shape) with two vertical channel wing portions connected with a horizontal channel connecting the wing portions.
  • the U-shaped or pipe shaped channel may be solid.
  • the U-shaped or pipe shaped channel may be hollow cylinder shaped.
  • the U-shaped pipe channel may be filled or unfilled.
  • each wing of the semiconductor channel has a circular cross section when viewed from above.
  • control gate and "word line” refer to the same electrically conductive entity.
  • a control gate may be considered a portion of a word line located adjacent to and controlling one NAND cell in an array of NAND cells.
  • a word line controls plural NAND cells in the array.
  • the word line may be considered to be a portion of the electrically conductive entity which connects the control gates.
  • the word line and its control gate portions may be formed during the same step and may comprise the same one or more electrically conductive layers as will be described below.
  • Figures 1A-1F illustrate a method of making a three dimensional memory device (e.g., vertical NAND string) according to an embodiment of the invention.
  • a substrate 100 is provided with a stack of alternating layers of a first material layer 102 and a second material layer 104 formed over the major surface 100a of the substrate 100.
  • the substrate 100 can be any semiconducting substrate known in the art, such as monocrystalline silicon, IV-IV compounds such as silicon-germanium or silicon-germanium- carbon, III-V compounds, II- VI compounds, epitaxial layers over such substrates, electrically conductive layers (e.g., electrodes and/or interconnects) over such substrates, or any other semiconducting or non- semiconducting material, such as silicon oxide, glass, plastic, metal or ceramic substrate.
  • the substrate 100 may include integrated circuits fabricated thereon, such as driver circuits for a memory device.
  • Layers 102 and 104 may be deposited over the substrate 100 by any suitable deposition method, such as sputtering, CVD, PECVD, MBE, etc.
  • the first material layer 102 is suitable for use as a control gate.
  • Suitable materials include, but are not limited to, metal (e.g., Al, W, their alloys, etc.) or heavily doped Group IV semiconductor, such as silicon (e.g., polysilicon), silicon germanium, silicon carbide, etc.
  • the semiconductor may be p-type or n-type doped and have a doping concentration between 10 17 cm - " 3 and 1021 cm “3 .
  • the second material layer 104 includes a sacrificial material. Any sacrificial material that may be selectively etched compared to the first material may be used.
  • the sacrificial materials 104 may be intrinsic polysilicon (i.e., doping below 10 16 cm "3 ).
  • the second material layer 104 may comprise a metal or an insulating material (e.g., silicon oxide, silicon nitride, etc.) that may be selectively etched with respect to the first mater layer 102.
  • the first layer material 102 may be doped poly silicon and the sacrificial material layer 104 may be SiGe.
  • the stack may be covered with a top layer of insulating material 106, such as silicon oxide or silicon nitride.
  • a bottom layer of insulating material 107 may be deposited on the substrate 100 prior to the deposition of the stack of alternating layers of first 102 and second layers 104 and a top layer of insulating material 106 may be deposited over the stack.
  • the top layer of insulating material 106 and the bottom layer of insulating material 107 may be silicon oxide or silicon nitride.
  • layers 106 and 107 are made of the same material as layers 104 (e.g., silicon oxide).
  • a layer of sacrificial material 111 is preferably deposited in trenches formed in the substrate 100 prior to depositing the stack of alternating layers of first 102 and second layers 104 or the bottom layer of insulating material 107.
  • Layer 111 may be deposited in the trenches in the substrate and over the substrate and then planarized with the top of the substrate surface 100A such that layer 111 remains only in the trenches.
  • layer 111 may be patterned into segments shown in Figure 1A followed by forming another layer to fill the spaces between the segments.
  • the sacrificial material 111 is preferably different from the second, sacrificial material layer 104.
  • the second, sacrificial material layer 104 is an oxide, such as silicon oxide
  • the sacrificial material 111 may be a nitride, such as silicon nitride.
  • the sacrificial material 111 may be removed via vertical memory holes etched in the stack of alternating layers of first 102 and second layers 104 and replaced with a semiconductor material to form the horizontal or connecting portion of the U-shaped channel.
  • an air gap trench may be left between the horizontal portion of the U-shaped channel and the stack such that the connecting portion of the semiconductor channel is located below the air gap.
  • the stack may be etched to form memory holes 108 and slit trenches 110.
  • the slit trenches 110 may be filled with a sacrificial material 11 OA, such as silicon nitride or another material which can be selectively etched compared to materials of layer 102 and 104, while the channels of the memory cells are subsequently formed in the memory holes 108.
  • the slit trenches 110 may be formed first using lithography and etching, then the trenches 110 may be filled with the sacrificial material 110A, followed by formation of the memory holes 108 using another lithography and etching step.
  • each of the memory holes 108 and the slit trenches 110 When etching conventional stacks having numerous alternating layers 102 and 104, such as eight or more layers, such as 8-64 layers, to form each of the memory holes 108 and the slit trenches 110 with a one step etch process (e.g., with a fluorine based etch) one or more of the memory holes 108 may penetrate through the layer of sacrificial material 111 into bottom conductor layer (not shown) due to a lack of etch selectivity between the materials of the second, sacrificial layers 104 and the sacrificial layer 111. When these memory holes 108 are filled with semiconducting material to form the channels, short circuits are created via semiconductor or conductive portion(s) of the substrate 100.
  • a one step etch process e.g., with a fluorine based etch
  • One conventional method of addressing this problem is to provide a thicker bottom insulating layer 107.
  • improvement in the etching profile may to be difficult to achieve with this method.
  • Another conventional method is to etch the layers 102, 104 step by step by alternating with a highly selective etches.
  • this method requires a large number separate etch steps which slows throughput and complicates the memory hole 108 / slit trench 110 formation steps.
  • high polymerization plasma is used, which may cause to formation of etching stoppages or blockages.
  • RIE reactive ion etching
  • etch stop layer 109 below the stack of layers 102, 104, large multilayer stacks can be etched using a one step etch process without penetrating through the sacrificial layer 111 into the substrate 100 (e.g., into an electrode in a substrate).
  • the etch stop layer 109 is located above layer 111, between the substrate 100 and the bottom insulating layer 107.
  • the etch stop layer may be made of a mid-k to high-k metal oxide, such as an aluminum based dielectric (e.g., stoichiometric AI 2 O 3 or non- stoichiometric aluminum oxide) or a titanium based dielectric (e.g., stoichiometric T1O 2 or non- stoichiometric titanium oxide), or nitrogen doped silicon carbide (e.g., silicon carbonitride, SiC(N)) which is resistant to fluorine based plasma.
  • the etch stop layer may comprise aluminum nitride, aluminum oxynitride, silicon carbide or another suitable etch stop material.
  • the etch stop is typically thin, such as 10-70 nm, such as 20-50 nm.
  • a first non-selective slit trench 110 etch is performed through a mask to etch the stack of alternating layers of first and second layers 102, 104 down to the etch stop layer 109.
  • This etch may be performed with either a wet etch, such as phosphoric acid or with a non-selective reactive ion etching process, such as with NF 3 .
  • Etching with the first non-selective etch is then stopped and the slit trenches 110 are filled with a sacrificial etch stop material 110A.
  • the slit trenches 110 may be filled with any suitable material 110A such as stoichiometric or non-stoichiometric, A10 x , A1N, AION, SiC, SiCN, TiN and/or TiO x .
  • suitable material 110A such as stoichiometric or non-stoichiometric, A10 x , A1N, AION, SiC, SiCN, TiN and/or TiO x .
  • a memory hole 108 etch is then performed.
  • a first non-selective memory hole 108 etch is performed through a mask to etch the stack of alternating layers of first and second layers 102, 104 down to the etch stop layer 109.
  • This etch may be performed with either a wet etch, such as phosphoric acid or with a non-selective reactive ion etching process, such as with NF 3 .
  • a second etch is then performed with an etchant suitable for etching the etch stop layer 109.
  • the etch stop layer 109 may be etched with a chlorine based etchant, such as Cl 2 or BCI 3 . If the etch stop layer is made of SiC(N), then a different fluorine based etchant (e.g., CF 4 ) from that used to etch the first and second layers 102, 104 may be used.
  • a chlorine based etchant such as Cl 2 or BCI 3 .
  • a different fluorine based etchant e.g., CF 4
  • FIGs 5 - 7 illustrate alternative embodiments of the invention.
  • the etch stop layer 109 is located on top of the bottom insulating layer 107. That is, the etch stop layer 109 is located between the bottom insulating layer 107 and the stack of first and second layers 102, 104.
  • the etch stop layer 109 is located in the middle of the bottom insulating layer 107. This may be accomplished by sequentially depositing a first bottom insulating layer portion 107 A followed by the etch stop layer 109 and then a second bottom insulating layer portion 107B. Portions 107A and 107B are preferably made of the same material (e.g., silicon oxide).
  • the embodiment illustrated in Figure 7 includes two etch stop layers 109 A, 109B.
  • the first etch stop layer 109A is located between the substrate 100 and the bottom insulating layer 107 while the second etch stop layer 109B is located between the bottom insulating layer 107 and the stack of first and second layers 102, 104.
  • Layers 109A, 109B are preferably made of the same material (e.g., aluminum oxide, titanium oxide or silicon carbonitride).
  • the memory cells 150 may be formed with a series of conformal deposition steps of the memory film and channel column portions 151 of the memory cells 150 in the memory holes 108, as shown in Figure IB.
  • Conformal deposition techniques include, but are not limited to, atomic layer deposition (ALD) and chemical vapor deposition (CVD).
  • a layer of blocking dielectric 112 may first be conformally deposited in the memory holes 108.
  • a layer of charge storage or charge trapping material 114 may be conformally deposited on the layer of blocking dielectric 112 in the memory holes 108.
  • a layer of tunnel dielectric 116 may then be conformally deposited on the charge storage material 114 in the memory holes 108.
  • the central portion of the memory hole 108 may then be filled with a semiconductor channel material 118, such as polysilicon.
  • the channel 118 material may comprise lightly doped p-type or n-type (i.e., doping below 10 17 cm - " 3 ) semiconductor material (e.g., polysilicon).
  • n-channel device is preferred since it is easily connected with n+ junctions (i.e., source and drain n+ doped
  • regions having a doping concentration between 10 cm “ and 10 cm " located at the opposite ends of each channel may also be used.
  • Other semiconductor materials e.g., SiGe, SiC, Ge, III-V, IT VI, etc. may also be used.
  • the blocking dielectric 112 may comprise a silicon oxide layer deposited by conformal atomic layer deposition (ALD) or chemical vapor deposition (CVD). Other high-k dielectric materials, such as hafnium oxide, may be used instead or in addition to silicon oxide. Dielectric 112 may have a thickness of 6 to 20 nm.
  • the charge storage region 114 may comprise a polysilicon floating gate or a silicon nitride layer deposited by any suitable method, such as ALD, CVD, etc., and have a thickness of 2 to 20 nm.
  • the tunnel dielectric 116 may comprise a relatively thin insulating layer (e.g., 4 to 10 nm thick) of silicon oxide or other suitable material, such as silicon oxynitride, oxide and nitride multi layer stacks, or a high-k dielectric (e.g., hafnium oxide), deposited by any suitable method, such as ALD, CVD.
  • the charge storage material 114 may be formed of a multilayer composite, such as an oxide-nitride-oxide (ONO) multilayer, and/or the blocking dielectric 112 may comprise a tri-layer ONO dielectric.
  • Each memory cell column 151 includes a semiconductor channel core 118, a first shell of tunnel dielectric 116, a second shell of charge storage material 114 and a third shell of blocking dielectric 112.
  • the blocking dielectric layer, the charge storage material (i.e., the charge trapping layer), and a tunnel dielectric layer extend substantially perpendicular to the major surface 110A of the substrate 100 between the semiconductor channel 118 and the plurality of control gate electrodes 102.
  • the semiconductor channel core 118 may include an inner core of insulating material surrounded by a shell of semiconductor material.
  • a surface 102D of the control gate layer 102 directly, physically contacts the blocking dielectric layer 112, as shown in Figures IF and 2.
  • the top layer of insulating material 106 may then be etched to expose openings 122 in a top layer of semiconducting material 124 to form upper select gates 123, 125.
  • the memory cells 150 are configured with U-shaped channels 118 and the select gates include respective drain select gates and source select gates 123, 125 at the upper end of each respective wing portion 118A of the U-shaped channel 118, as shown in Figures 1C and 3.
  • the channel wing portions 118A are connected by the horizontal channel 118B located in or on the substrate 100.
  • the control gates are silicided, as described in U.S. patent application serial number 13/443,287, filed on 4/10/12 and incorporated herein by reference for a teaching of a method of making silicided control gates and the NAND device with such gates.
  • a support mask 126 may be deposited over the top layer of insulating material 106 after the openings 122 are formed.
  • the support mask 126 provides support to the memory device after the layers of sacrificial materials 11 OA and 104 are removed.
  • the support mask 126 may be made of any suitable material, such as an oxide or nitride hard mask material.
  • the mask 126 may be a mesh shaped mask which includes clear or open gap portions 126 A surrounded by dark or solid cross bar mesh support portions 126B.
  • the sacrificial material 110A in the slit trenches 110 may be removed. This removal may be accomplished by selectively etching (e.g., wet etching) the sacrificial material 110A in the trenches 110 through the gaps 126A in the mask 126 without etching the other materials or layers in the device. Removing the material 110A in the trenches 110 exposes the side edges of layers of sacrificial material 104 in the stack.
  • the layers of sacrificial material 104 may then be removed by selective etching (e.g., wet etching) through the slit trenches 110, as illustrated in Figure IF. This results in terraces of exposed control gate 102 material which are supported by the memory cell columns 151.
  • the support mask 126 provides additional support to the memory cell columns 151.
  • the control gates 102 are separated in the vertical direction by the air gaps 104A where the sacrificial material layers 104 were previously located and in the horizontal direction by the slit trenches 110.
  • the exposed surfaces of the control gates 102 may be silicided to form a silicide layer 128 on the exposed surfaces of the polysilicon control gates 102.
  • the silicide layer 128 may be formed by conformally depositing a thin layer of metal, such as tungsten, cobalt, nickel or titanium, or a combination of two more of these metals, on the exposed control gate polysilicon material and heating the device to react the thin layer of metal with the control gate material.
  • the metal layer may be formed through the gaps 126A in the mask 126 and through the trenches 110 and air gaps 104A.
  • the silicide layer 128 may be formed on the upper 102A and lower 102B surfaces of the exposed control gates 102 as well as on the exposed face 102C of the control gate 102 opposite the face 102D that contacts the charge storage region 112 of the memory device 150.
  • the upper and lower surfaces 102A, 102B of the control gates 102 are positioned substantially parallel to the major surface 100A of the substrate 100, while edge surfaces or faces 102C, 102D of the control gate 102 are positioned substantially perpendicular to the major surface 100A of the substrate 100.
  • the silicide layer 128 may also be formed on the side wall of the select gates 123, 125 exposed in the trenches 122.
  • the mask 126 and silicide layer 128 may be omitted. Furthermore, the air gaps 104A and/or air gap slit trenches 110 may be omitted and instead layers 104 and/or trench etch stop material 11 OA may remain in the completed device.
  • the each memory cell includes a source electrode 130 and a drain electrode 132.
  • Methods of making the source electrode 130 and a drain electrode 132 are described in co-pending U.S. Patent Application Serial Nos. 12/827,947 and
  • both the source and drain electrodes 132, 130 may be formed contacting the source and drain regions at the top of the wings 118A of the vertical memory column(s) 151.
  • control gates 102 in adjacent memory cells 150 in a device level may be connected to each other in a strip 134.
  • the strips 134 connected to a given word line may be combed shaped and strips connected to adjacent word lines may be interdigitated as shown in Figure 2.
  • the strips 134 and individual control gates 102 may be considered portions of the word line rather than discreet elements.
  • control gate strips 134 surround a single row of memory cell 150 pillars 151.
  • each control gate 102 strip 134 may surround two rows of pillars 151 (i.e., wings 118A) of adjacent NAND strings (i.e., memory cells) 150.
  • the horizontal channel portion 118B of the U-shaped channel 118 connects adjacent channel wing portions 118A under the slit trenches 110 under the etch stop layer 109, as shown in Figures 3 and 4.
  • the horizontal portion 118B of the U-shaped channel 118 extends substantially perpendicular to the elongation direction of the strips 134 of control gates 102.
  • the source select gates 123 of adjacent memory cells may be connected to each other via a source line while the drain select gates 125 of adjacent memory cells may be connected to each other via a bit line (not shown).
  • U-shaped NAND strings 150 are illustrated with the control gate strip 134 surrounding two rows of pillars 151 configuration of Figure 4, it should be understood that the U-shaped NAND strings 150 may also be used with the control gate strip 134 surrounding one row of pillars 151 configuration of Figure 2.
  • Figure 4 illustrates three control gate strips 134A, 134B and 134C located in the same device level.
  • Control gate strip 134B is located between strips 134A and 134C.
  • Control gate strips 134A and 134C are electrically connected to the same word line WL, while control gate strip 134B is electrically connected to a different word line (not shown), such that strip 134B is interdigitated between strips 134A and 134C in the same device level.
  • the strips 134A, 134B and 134C are separated from each other by air gap trenches 110.
  • a first wing portion 118A of the semiconductor channel 118 of the NAND string 150 (shown by dashed lines) extends through and is surrounded by strip 134C (as well as other strips located above and below strip 134C, such as strip 134D shown in Figure IF).
  • the second wing portion 118A of the semiconductor channel 118 extends through and is surrounded by strip 134B (as well as other strips located above and below strip 134B, such as strip 134E shown in Figure IF).
  • the connecting portion 118B (shown in dashed lines) of the semiconductor channel 118 is located below the air gap trench 110 which separates the strips.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

A three dimensional memory device includes a substrate and a semiconductor channel. At least one end portion of the semiconductor channel extends substantially perpendicular to a major surface of the substrate. The device also includes at least one charge storage region located adjacent to semiconductor channel and a plurality of control gate electrodes having a strip shape extending substantially parallel to the major surface of the substrate. The plurality of control gate electrodes include at least a first control gate electrode located in a first device level and a second control gate electrode located in a second device level located over the major surface of the substrate and below the first device level. The device also includes an etch stop layer located between the substrate and the plurality of control gate electrodes.

Description

Method of Making a Three-Dimensional Memory Array with Etch Stop
FIELD
[0001] The present application claims benefit of U.S. Non-Provisional Application Serial Number 13/586,413 filed on August 15, 2012, which is incorporated herein by reference in its entirety.
[0002] The present invention relates generally to the field of semiconductor devices and specifically to three dimensional vertical NAND strings and other three dimensional devices and methods of making thereof.
BACKGROUND
[0003] Three dimensional vertical NAND strings are disclosed in an article by T. Endoh, et. al., titled "Novel Ultra High Density Memory With A Stacked-Surrounding Gate Transistor (S-SGT) Structured Cell", IEDM Proc. (2001) 33-36. However, this NAND string provides only one bit per cell. Furthermore, the active regions of the NAND string is formed by a relatively difficult and time consuming process involving repeated formation of sidewall spacers and etching of a portion of the substrate, which results in a roughly conical active region shape.
SUMMARY
[0004] An embodiment relates to a three dimensional memory device including a substrate and a semiconductor channel. At least one end portion of the semiconductor channel extends substantially perpendicular to a major surface of the substrate. The device also includes at least one charge storage region located adjacent to semiconductor channel and a plurality of control gate electrodes having a strip shape extending substantially parallel to the major surface of the substrate. The plurality of control gate electrodes include at least a first control gate electrode located in a first device level and a second control gate electrode located in a second device level located over the major surface of the substrate and below the first device level. The device also includes an etch stop layer located between the substrate and the plurality of control gate electrodes.
[0005] Another embodiment relates to a method of making a monolithic three dimensional NAND string. The method includes forming a sacrificial feature over a substrate, forming an etch stop layer over the sacrificial feature and forming a stack of alternating layers of a first material and a second material over the substrate. The first material includes a conductive or semiconductor control gate material and the second material includes an insulating material. The method also includes etching the stack to form a slit trench up to or only partially through the etch stop layer, filling the slit trench with a sacrificial material and etching the stack to form at least one opening in the stack at least to the etch stop layer using a first etch chemistry. The method also includes further etching the at least one opening through the etch stop layer to the sacrificial feature using a second etch chemistry in which the second etch chemistry is different from the first etch chemistry.
BRIEF DESCRIPTION OF THE DRAWINGS
[0006] FIG. 1A is a schematic side cross sectional view illustrating a step in a method of making a three dimensional memory device according to an embodiment.
[0007] FIG. IB is a schematic side cross sectional view illustrating another step in the method of making a three dimensional memory device according to an embodiment.
[0008] FIG. 1C is a schematic side cross sectional view illustrating another step in the method of making a three dimensional memory device according to an embodiment.
[0009] FIG. ID is a schematic side cross sectional view illustrating another step in the method of making a three dimensional memory device according to an embodiment. [0010] FIG. IE is a schematic side cross sectional view illustrating another step in the method of making a three dimensional memory device according to an embodiment.
[0011] FIG. IF is a schematic side cross sectional view illustrating another step in the method of making a three dimensional memory device according to an embodiment.
[0012] FIG. 2 is a plan view a memory device according to an embodiment. Also illustrated is a support mask layout used in the method of FIG. 1.
[0013] FIG. 3 is a side cross sectional view of a vertical NAND string according to an embodiment.
[0014] FIG. 4 is a plan view of a memory device according to an embodiment.
[0015] FIG. 5 is a schematic cross sectional side view illustrating a three dimensional memory device according to another embodiment.
[0016] FIG. 6 is a schematic cross sectional side view illustrating a three dimensional memory device according to another embodiment.
[0017] FIG. 7 is a schematic cross sectional side view illustrating an embodiment of a three dimensional memory device with two etch stops.
DETAILED DESCRIPTION
[0018] Embodiments include monolithic three dimensional NAND strings and methods of making three dimensional NAND strings. In an embodiment, the NAND string may have a U-shape (also known as a "pipe" shape) with two vertical channel wing portions connected with a horizontal channel connecting the wing portions. In one aspect, the U-shaped or pipe shaped channel may be solid. In another aspect, the U-shaped or pipe shaped channel may be hollow cylinder shaped. The U-shaped pipe channel may be filled or unfilled. In an embodiment, each wing of the semiconductor channel has a circular cross section when viewed from above. Separate front side and back side methods for fabricating both single vertical channel and U-shaped channel NAND strings are taught in co-pending U.S. Patent Application Serial No. 12/827,947, hereby incorporated by reference in its entirety for teaching of the separate front and back side processing methods. Combination front side and back side methods for fabricating both single vertical channel and U-shaped channel NAND strings are taught in co-pending U.S. Patent Application Serial No. 13/083,775, hereby incorporated by reference in its entirety for teaching combination front side and back side processing methods.
[0019] As used herein, the term "control gate" and "word line" refer to the same electrically conductive entity. A control gate may be considered a portion of a word line located adjacent to and controlling one NAND cell in an array of NAND cells. A word line controls plural NAND cells in the array. Thus, the word line may be considered to be a portion of the electrically conductive entity which connects the control gates. However, it should be understood that the word line and its control gate portions may be formed during the same step and may comprise the same one or more electrically conductive layers as will be described below.
[0020] Figures 1A-1F illustrate a method of making a three dimensional memory device (e.g., vertical NAND string) according to an embodiment of the invention. In this embodiment, a substrate 100 is provided with a stack of alternating layers of a first material layer 102 and a second material layer 104 formed over the major surface 100a of the substrate 100.
[0021] The substrate 100 can be any semiconducting substrate known in the art, such as monocrystalline silicon, IV-IV compounds such as silicon-germanium or silicon-germanium- carbon, III-V compounds, II- VI compounds, epitaxial layers over such substrates, electrically conductive layers (e.g., electrodes and/or interconnects) over such substrates, or any other semiconducting or non- semiconducting material, such as silicon oxide, glass, plastic, metal or ceramic substrate. The substrate 100 may include integrated circuits fabricated thereon, such as driver circuits for a memory device.
[0022] Layers 102 and 104 may be deposited over the substrate 100 by any suitable deposition method, such as sputtering, CVD, PECVD, MBE, etc. Preferably, the first material layer 102 is suitable for use as a control gate. Suitable materials include, but are not limited to, metal (e.g., Al, W, their alloys, etc.) or heavily doped Group IV semiconductor, such as silicon (e.g., polysilicon), silicon germanium, silicon carbide, etc. The semiconductor may be p-type or n-type doped and have a doping concentration between 10 17 cm -"3 and 1021 cm"3.
[0023] The second material layer 104 includes a sacrificial material. Any sacrificial material that may be selectively etched compared to the first material may be used. For example, if the first material layer 102 is p-doped polysilicon, the sacrificial materials 104 may be intrinsic polysilicon (i.e., doping below 1016 cm"3). Alternatively, the second material layer 104 may comprise a metal or an insulating material (e.g., silicon oxide, silicon nitride, etc.) that may be selectively etched with respect to the first mater layer 102. Alternatively, the first layer material 102 may be doped poly silicon and the sacrificial material layer 104 may be SiGe. The stack may be covered with a top layer of insulating material 106, such as silicon oxide or silicon nitride.
[0024] In an embodiment, a bottom layer of insulating material 107 may be deposited on the substrate 100 prior to the deposition of the stack of alternating layers of first 102 and second layers 104 and a top layer of insulating material 106 may be deposited over the stack. The top layer of insulating material 106 and the bottom layer of insulating material 107 may be silicon oxide or silicon nitride. In one embodiment, layers 106 and 107 are made of the same material as layers 104 (e.g., silicon oxide). When making the U-shaped channel of an embodiment of the invention, a layer of sacrificial material 111 is preferably deposited in trenches formed in the substrate 100 prior to depositing the stack of alternating layers of first 102 and second layers 104 or the bottom layer of insulating material 107. Layer 111 may be deposited in the trenches in the substrate and over the substrate and then planarized with the top of the substrate surface 100A such that layer 111 remains only in the trenches.
Alternatively, layer 111 may be patterned into segments shown in Figure 1A followed by forming another layer to fill the spaces between the segments. The sacrificial material 111 is preferably different from the second, sacrificial material layer 104. For example, if the second, sacrificial material layer 104 is an oxide, such as silicon oxide, then the sacrificial material 111 may be a nitride, such as silicon nitride. As discussed in more detail below, the sacrificial material 111 may be removed via vertical memory holes etched in the stack of alternating layers of first 102 and second layers 104 and replaced with a semiconductor material to form the horizontal or connecting portion of the U-shaped channel. In an embodiment, an air gap trench may be left between the horizontal portion of the U-shaped channel and the stack such that the connecting portion of the semiconductor channel is located below the air gap.
[0025] After deposition of the layers 102 and 104, the stack may be etched to form memory holes 108 and slit trenches 110. The slit trenches 110 may be filled with a sacrificial material 11 OA, such as silicon nitride or another material which can be selectively etched compared to materials of layer 102 and 104, while the channels of the memory cells are subsequently formed in the memory holes 108. For example, the slit trenches 110 may be formed first using lithography and etching, then the trenches 110 may be filled with the sacrificial material 110A, followed by formation of the memory holes 108 using another lithography and etching step.
[0026] When etching conventional stacks having numerous alternating layers 102 and 104, such as eight or more layers, such as 8-64 layers, to form each of the memory holes 108 and the slit trenches 110 with a one step etch process (e.g., with a fluorine based etch) one or more of the memory holes 108 may penetrate through the layer of sacrificial material 111 into bottom conductor layer (not shown) due to a lack of etch selectivity between the materials of the second, sacrificial layers 104 and the sacrificial layer 111. When these memory holes 108 are filled with semiconducting material to form the channels, short circuits are created via semiconductor or conductive portion(s) of the substrate 100.
[0027] One conventional method of addressing this problem is to provide a thicker bottom insulating layer 107. However, improvement in the etching profile may to be difficult to achieve with this method. Another conventional method is to etch the layers 102, 104 step by step by alternating with a highly selective etches. However, for large stacks, this method requires a large number separate etch steps which slows throughput and complicates the memory hole 108 / slit trench 110 formation steps. Additionally, to achieve high selectivity, high polymerization plasma is used, which may cause to formation of etching stoppages or blockages. Further, this method tends to suffer from higher reactive ion etching (RIE) lag (a phenomena in which smaller trenches etch at a slower rate than larger trenches) and RIE microloading (a phenomena in which the etch rate depends on the pattern density).
[0028] The inventors have discovered that with the addition of at least one etch stop layer 109 below the stack of layers 102, 104, large multilayer stacks can be etched using a one step etch process without penetrating through the sacrificial layer 111 into the substrate 100 (e.g., into an electrode in a substrate). In the embodiment illustrated in Figure 1A, the etch stop layer 109 is located above layer 111, between the substrate 100 and the bottom insulating layer 107. The etch stop layer may be made of a mid-k to high-k metal oxide, such as an aluminum based dielectric (e.g., stoichiometric AI2O3 or non- stoichiometric aluminum oxide) or a titanium based dielectric (e.g., stoichiometric T1O2 or non- stoichiometric titanium oxide), or nitrogen doped silicon carbide (e.g., silicon carbonitride, SiC(N)) which is resistant to fluorine based plasma. Alternatively, the etch stop layer may comprise aluminum nitride, aluminum oxynitride, silicon carbide or another suitable etch stop material. The etch stop is typically thin, such as 10-70 nm, such as 20-50 nm.
[0029] To form the slit trenches 110, a first non-selective slit trench 110 etch is performed through a mask to etch the stack of alternating layers of first and second layers 102, 104 down to the etch stop layer 109. This etch may be performed with either a wet etch, such as phosphoric acid or with a non-selective reactive ion etching process, such as with NF3. Etching with the first non-selective etch is then stopped and the slit trenches 110 are filled with a sacrificial etch stop material 110A. The slit trenches 110 may be filled with any suitable material 110A such as stoichiometric or non-stoichiometric, A10x, A1N, AION, SiC, SiCN, TiN and/or TiOx.
[0030] A memory hole 108 etch is then performed. A first non-selective memory hole 108 etch is performed through a mask to etch the stack of alternating layers of first and second layers 102, 104 down to the etch stop layer 109. This etch may be performed with either a wet etch, such as phosphoric acid or with a non-selective reactive ion etching process, such as with NF3. A second etch is then performed with an etchant suitable for etching the etch stop layer 109. For example, if the etch stop layer is made of an aluminum based dielectric or a titanium based dielectric, then the etch stop layer 109 may be etched with a chlorine based etchant, such as Cl2 or BCI3. If the etch stop layer is made of SiC(N), then a different fluorine based etchant (e.g., CF4) from that used to etch the first and second layers 102, 104 may be used.
[0031] Figures 5 - 7 illustrate alternative embodiments of the invention. In the embodiment illustrated in Figure 5, the etch stop layer 109 is located on top of the bottom insulating layer 107. That is, the etch stop layer 109 is located between the bottom insulating layer 107 and the stack of first and second layers 102, 104. In the embodiment illustrated in Figure 6, the etch stop layer 109 is located in the middle of the bottom insulating layer 107. This may be accomplished by sequentially depositing a first bottom insulating layer portion 107 A followed by the etch stop layer 109 and then a second bottom insulating layer portion 107B. Portions 107A and 107B are preferably made of the same material (e.g., silicon oxide). The embodiment illustrated in Figure 7 includes two etch stop layers 109 A, 109B. The first etch stop layer 109A is located between the substrate 100 and the bottom insulating layer 107 while the second etch stop layer 109B is located between the bottom insulating layer 107 and the stack of first and second layers 102, 104. Layers 109A, 109B are preferably made of the same material (e.g., aluminum oxide, titanium oxide or silicon carbonitride).
[0032] In an embodiment, the memory cells 150 (e.g., vertical NAND strings) may be formed with a series of conformal deposition steps of the memory film and channel column portions 151 of the memory cells 150 in the memory holes 108, as shown in Figure IB. Conformal deposition techniques include, but are not limited to, atomic layer deposition (ALD) and chemical vapor deposition (CVD).
[0033] For example, as shown in Figure 2, a layer of blocking dielectric 112 may first be conformally deposited in the memory holes 108. Next, a layer of charge storage or charge trapping material 114 may be conformally deposited on the layer of blocking dielectric 112 in the memory holes 108. A layer of tunnel dielectric 116 may then be conformally deposited on the charge storage material 114 in the memory holes 108. The central portion of the memory hole 108 may then be filled with a semiconductor channel material 118, such as polysilicon.
[0034] The channel 118 material may comprise lightly doped p-type or n-type (i.e., doping below 10 17 cm -"3 ) semiconductor material (e.g., polysilicon). An n-channel device is preferred since it is easily connected with n+ junctions (i.e., source and drain n+ doped
17 -3 21 -3
regions having a doping concentration between 10 cm" and 10 cm" located at the opposite ends of each channel). However, a p-channel device may also be used. Other semiconductor materials (e.g., SiGe, SiC, Ge, III-V, IT VI, etc.) may also be used.
[0035] The blocking dielectric 112 may comprise a silicon oxide layer deposited by conformal atomic layer deposition (ALD) or chemical vapor deposition (CVD). Other high-k dielectric materials, such as hafnium oxide, may be used instead or in addition to silicon oxide. Dielectric 112 may have a thickness of 6 to 20 nm. The charge storage region 114 may comprise a polysilicon floating gate or a silicon nitride layer deposited by any suitable method, such as ALD, CVD, etc., and have a thickness of 2 to 20 nm. The tunnel dielectric 116 may comprise a relatively thin insulating layer (e.g., 4 to 10 nm thick) of silicon oxide or other suitable material, such as silicon oxynitride, oxide and nitride multi layer stacks, or a high-k dielectric (e.g., hafnium oxide), deposited by any suitable method, such as ALD, CVD. In an alternative configuration, the charge storage material 114 may be formed of a multilayer composite, such as an oxide-nitride-oxide (ONO) multilayer, and/or the blocking dielectric 112 may comprise a tri-layer ONO dielectric.
[0036] The result of the conformal depositions is formation of memory cell 150 columns 151 substantially perpendicular to the major surface 100A of the substrate 100. Each memory cell column 151 includes a semiconductor channel core 118, a first shell of tunnel dielectric 116, a second shell of charge storage material 114 and a third shell of blocking dielectric 112. The blocking dielectric layer, the charge storage material (i.e., the charge trapping layer), and a tunnel dielectric layer extend substantially perpendicular to the major surface 110A of the substrate 100 between the semiconductor channel 118 and the plurality of control gate electrodes 102. In an alternative embodiment, the semiconductor channel core 118 may include an inner core of insulating material surrounded by a shell of semiconductor material.
[0037] In an embodiment, a surface 102D of the control gate layer 102 directly, physically contacts the blocking dielectric layer 112, as shown in Figures IF and 2.
[0038] As illustrated in Figure 1C, the top layer of insulating material 106 may then be etched to expose openings 122 in a top layer of semiconducting material 124 to form upper select gates 123, 125. The memory cells 150 are configured with U-shaped channels 118 and the select gates include respective drain select gates and source select gates 123, 125 at the upper end of each respective wing portion 118A of the U-shaped channel 118, as shown in Figures 1C and 3. The channel wing portions 118A are connected by the horizontal channel 118B located in or on the substrate 100.
[0039] In a non-limiting embodiment shown in Figures ID and 2, the control gates are silicided, as described in U.S. patent application serial number 13/443,287, filed on 4/10/12 and incorporated herein by reference for a teaching of a method of making silicided control gates and the NAND device with such gates. In this embodiment, a support mask 126 may be deposited over the top layer of insulating material 106 after the openings 122 are formed. The support mask 126 provides support to the memory device after the layers of sacrificial materials 11 OA and 104 are removed. The support mask 126 may be made of any suitable material, such as an oxide or nitride hard mask material. As shown in Figure 2, the mask 126 may be a mesh shaped mask which includes clear or open gap portions 126 A surrounded by dark or solid cross bar mesh support portions 126B.
[0040] In the next step, as illustrated in Figure IE, the sacrificial material 110A in the slit trenches 110 may be removed. This removal may be accomplished by selectively etching (e.g., wet etching) the sacrificial material 110A in the trenches 110 through the gaps 126A in the mask 126 without etching the other materials or layers in the device. Removing the material 110A in the trenches 110 exposes the side edges of layers of sacrificial material 104 in the stack.
[0041] The layers of sacrificial material 104 may then be removed by selective etching (e.g., wet etching) through the slit trenches 110, as illustrated in Figure IF. This results in terraces of exposed control gate 102 material which are supported by the memory cell columns 151. The support mask 126 provides additional support to the memory cell columns 151. The control gates 102 are separated in the vertical direction by the air gaps 104A where the sacrificial material layers 104 were previously located and in the horizontal direction by the slit trenches 110.
[0042] After formation of the air gaps 104A, the exposed surfaces of the control gates 102 may be silicided to form a silicide layer 128 on the exposed surfaces of the polysilicon control gates 102. The silicide layer 128 may be formed by conformally depositing a thin layer of metal, such as tungsten, cobalt, nickel or titanium, or a combination of two more of these metals, on the exposed control gate polysilicon material and heating the device to react the thin layer of metal with the control gate material. The metal layer may be formed through the gaps 126A in the mask 126 and through the trenches 110 and air gaps 104A. The silicide layer 128 may be formed on the upper 102A and lower 102B surfaces of the exposed control gates 102 as well as on the exposed face 102C of the control gate 102 opposite the face 102D that contacts the charge storage region 112 of the memory device 150. The upper and lower surfaces 102A, 102B of the control gates 102 are positioned substantially parallel to the major surface 100A of the substrate 100, while edge surfaces or faces 102C, 102D of the control gate 102 are positioned substantially perpendicular to the major surface 100A of the substrate 100. The silicide layer 128 may also be formed on the side wall of the select gates 123, 125 exposed in the trenches 122.
[0043] In an alternative embodiment, the mask 126 and silicide layer 128 may be omitted. Furthermore, the air gaps 104A and/or air gap slit trenches 110 may be omitted and instead layers 104 and/or trench etch stop material 11 OA may remain in the completed device.
[0044] In the completed device, the each memory cell includes a source electrode 130 and a drain electrode 132. Methods of making the source electrode 130 and a drain electrode 132 are described in co-pending U.S. Patent Application Serial Nos. 12/827,947 and
13/083,775, hereby incorporated by reference. In a U-shaped channel configuration shown in Figure 3, both the source and drain electrodes 132, 130 may be formed contacting the source and drain regions at the top of the wings 118A of the vertical memory column(s) 151.
[0045] As illustrated in Figures 2 and 4, the control gates 102 in adjacent memory cells 150 in a device level may be connected to each other in a strip 134. The strips 134 connected to a given word line may be combed shaped and strips connected to adjacent word lines may be interdigitated as shown in Figure 2. As noted above, the strips 134 and individual control gates 102 may be considered portions of the word line rather than discreet elements.
[0046] In the embodiment illustrated in Figure 2, the control gate strips 134 surround a single row of memory cell 150 pillars 151. As illustrated in Figure 4, each control gate 102 strip 134 may surround two rows of pillars 151 (i.e., wings 118A) of adjacent NAND strings (i.e., memory cells) 150.
[0047] In the U-shaped channel configuration of Figure 3, the horizontal channel portion 118B of the U-shaped channel 118 connects adjacent channel wing portions 118A under the slit trenches 110 under the etch stop layer 109, as shown in Figures 3 and 4. Thus, the horizontal portion 118B of the U-shaped channel 118 extends substantially perpendicular to the elongation direction of the strips 134 of control gates 102. The source select gates 123 of adjacent memory cells may be connected to each other via a source line while the drain select gates 125 of adjacent memory cells may be connected to each other via a bit line (not shown). While the U-shaped NAND strings 150 are illustrated with the control gate strip 134 surrounding two rows of pillars 151 configuration of Figure 4, it should be understood that the U-shaped NAND strings 150 may also be used with the control gate strip 134 surrounding one row of pillars 151 configuration of Figure 2.
[0048] Figure 4 illustrates three control gate strips 134A, 134B and 134C located in the same device level. Control gate strip 134B is located between strips 134A and 134C.
Control gate strips 134A and 134C are electrically connected to the same word line WL, while control gate strip 134B is electrically connected to a different word line (not shown), such that strip 134B is interdigitated between strips 134A and 134C in the same device level. The strips 134A, 134B and 134C are separated from each other by air gap trenches 110. A first wing portion 118A of the semiconductor channel 118 of the NAND string 150 (shown by dashed lines) extends through and is surrounded by strip 134C (as well as other strips located above and below strip 134C, such as strip 134D shown in Figure IF). The second wing portion 118A of the semiconductor channel 118 extends through and is surrounded by strip 134B (as well as other strips located above and below strip 134B, such as strip 134E shown in Figure IF). The connecting portion 118B (shown in dashed lines) of the semiconductor channel 118 is located below the air gap trench 110 which separates the strips.
[0049] Although the foregoing refers to particular preferred embodiments, it will be understood that the invention is not so limited. It will occur to those of ordinary skill in the art that various modifications may be made to the disclosed embodiments and that such modifications are intended to be within the scope of the invention. All of the publications, patent applications and patents cited herein are incorporated herein by reference in their entirety.

Claims

WHAT IS CLAIMED IS:
1. A three dimensional memory device, comprising:
a substrate;
a semiconductor channel, at least one end portion of the semiconductor
channel extending substantially perpendicular to a major surface of the substrate;
at least one charge storage region located adjacent to semiconductor channel;
a plurality of control gate electrodes having a strip shape extending
substantially parallel to the major surface of the substrate, wherein the plurality of control gate electrodes comprise at least a first control gate electrode located in a first device level and a second control gate electrode located in a second device level
located over the major surface of the substrate and below the first device level; and
an etch stop layer located between the substrate and the plurality of control gate electrodes.
2. The device of claim 1, wherein the device comprises a vertical NAND string.
3. The device of claim 2, wherein the at least one charge storage region comprises a blocking dielectric, a charge trapping layer or floating gate, and a tunnel dielectric which are located between the semiconductor channel and the plurality of control gate electrodes.
4. The device of claim 2, wherein the semiconductor channel has a U-shaped side cross section, comprising:
two wing portions which extend substantially perpendicular to the major surface of the substrate through the etch stop layer; and
a connecting portion which extends substantially parallel to the major surface of the substrate below the etch stop layer and which connects the two wing portions.
5. The device of claim 4, wherein:
the connecting portion of the semiconductor channel is located below an air gap trench which separates the two wing portions; and
the connecting portion is located below the etch stop layer.
6. The device of claim 5, further comprising:
one of a source or drain electrode which contacts the first wing portion of the semiconductor channel from above;
another one of a source or drain electrode which contacts the second wing portion of the semiconductor channel from above;
a first select gate electrode located adjacent to the first wing portion of the semiconductor channel below the one of source or drain electrode; and
a second select gate electrode located adjacent to the second wing portion of the semiconductor channel below the another one of source or drain electrode.
7. The device of claim 5, wherein:
each of the plurality of the control gate electrodes comprise polysilicon gate electrodes separated from each other in a direction perpendicular to the major surface of the substrate by silicon oxide insulating layers; and
the etch stop layer comprises aluminum oxide, aluminum nitride, aluminum oxynitride, titanium oxide, silicon carbide or silicon carbonitride.
8. The device of claim 7, further comprising a silicon oxide layer located above or below the etch stop layer.
9. The device of claim 4, wherein each wing of the semiconductor channel has a circular cross section when viewed from above.
10. A method of making a monolithic three dimensional NAND string, comprising:
forming a sacrificial feature over a substrate;
forming an etch stop layer over the sacrificial feature;
forming a stack of alternating layers of a first material and a second material over the substrate, wherein the first material comprises a conductive or semiconductor control gate material and wherein the second material comprises an insulating material;
etching the stack to form a slit trench up to or only partially through the etch stop layer;
filling the slit trench with a sacrificial material; etching the stack to form at least one opening in the stack at least to the etch stop layer using a first etch chemistry; and
further etching the at least one opening through the etch stop layer to the sacrificial feature using a second etch chemistry, wherein the second etch chemistry is different from the first etch chemistry.
11. The method of claim 10, wherein the etch stop layer comprises aluminum oxide, aluminum nitride, aluminum oxynitride, titanium oxide, silicon carbide or silicon carbonitride.
12. The method of claim 11, wherein the first material comprises polysilicon and the second material comprises silicon oxide.
13. The method of claim 12, wherein the first etch chemistry comprises a fluorine based plasma etch chemistry and the second etch chemistry comprises a chlorine based plasma etch chemistry or a fluorine based plasma chemistry different from that of the first etch chemistry.
14. The method of claim 13, wherein the first etch chemistry comprises a NF3 dry etch chemistry and the second etch chemistry comprises a dry CF4, Cl2 or BC13 etch chemistry.
15. The method of claim 14, wherein the sacrificial feature and the sacrificial material comprise silicon nitride, and wherein a silicon oxide layer is located above or below the etch stop layer.
16. The method of claim 10, further comprising:
forming a blocking dielectric in the at least one opening;
forming a charge storage layer over the blocking dielectric;
forming a tunnel dielectric over the charge storage layer; and
forming a semiconductor channel over the tunnel dielectric.
17. The method of claim 16, wherein: the at least one opening comprises two openings extending to the sacrificial feature; and
the slit trench is located between the two openings.
18. The method of claim 17, further comprising removing the sacrificial feature through the two openings while the slit trench is filled with the sacrificial material to form a hollow region extending substantially parallel to a major surface of the substrate which connects the at least two openings to form a hollow U-shaped pipe space comprising the two openings extending substantially perpendicular to the major surface of the substrate connected by the hollow region.
19. The method of claim 18, wherein forming the semiconductor channel comprises forming the semiconductor channel in the hollow U-shaped pipe space such that the semiconductor channel has a U-shaped side cross section, comprising:
two wing portions which extend substantially perpendicular to the major surface of the substrate through the etch stop layer;
a connecting portion which extends substantially parallel to the major surface of the substrate below the etch stop layer and which connects the two wing portions; and
the semiconductor channel has a cross section of two circles when viewed from above.
20. The method of claim 19, further comprising removing the sacrificial material from the slit trench to form an air gap trench which separates the two wing portions.
21. The method of claim 20, wherein:
removing the sacrificial feature comprise selectively wet etching the sacrificial feature; and
removing the sacrificial material comprises selectively wet etching the sacrificial material.
22. The method of claim 21, wherein the sacrificial feature comprises silicon nitride and the selectively wet etching comprises selectively wet etching the sacrificial feature using phosphoric acid.
23. The method of claim 19, furthering comprising forming a source electrode contacting the first wing and forming a drain electrode contacting the second wing.
PCT/US2013/049758 2012-08-15 2013-07-09 Method of making a three-dimensional memory array with etch stop WO2014028140A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
EP13739909.3A EP2885815A1 (en) 2012-08-15 2013-07-09 Method of making a three-dimensional memory array with etch stop
KR1020157002084A KR101991147B1 (en) 2012-08-15 2013-07-09 Method of making a three-dimensional memory array with etch stop
CN201380041601.0A CN104520992A (en) 2012-08-15 2013-07-09 Method of making a three-dimensional memory array with etch stop

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/586,413 2012-08-15
US13/586,413 US8614126B1 (en) 2012-08-15 2012-08-15 Method of making a three-dimensional memory array with etch stop

Publications (1)

Publication Number Publication Date
WO2014028140A1 true WO2014028140A1 (en) 2014-02-20

Family

ID=48833076

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2013/049758 WO2014028140A1 (en) 2012-08-15 2013-07-09 Method of making a three-dimensional memory array with etch stop

Country Status (5)

Country Link
US (2) US8614126B1 (en)
EP (1) EP2885815A1 (en)
KR (1) KR101991147B1 (en)
CN (1) CN104520992A (en)
WO (1) WO2014028140A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3316316A1 (en) * 2016-10-17 2018-05-02 Semiconductor Manufacturing International Corporation (Beijing) A flash memory device and manufacture thereof

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9105737B2 (en) * 2013-01-07 2015-08-11 Micron Technology, Inc. Semiconductor constructions
US8853769B2 (en) 2013-01-10 2014-10-07 Micron Technology, Inc. Transistors and semiconductor constructions
US9230987B2 (en) 2014-02-20 2016-01-05 Sandisk Technologies Inc. Multilevel memory stack structure and methods of manufacturing the same
US9698153B2 (en) 2013-03-12 2017-07-04 Sandisk Technologies Llc Vertical NAND and method of making thereof using sequential stack etching and self-aligned landing pad
US9515080B2 (en) 2013-03-12 2016-12-06 Sandisk Technologies Llc Vertical NAND and method of making thereof using sequential stack etching and landing pad
US9449982B2 (en) 2013-03-12 2016-09-20 Sandisk Technologies Llc Method of making a vertical NAND device using a sacrificial layer with air gap and sequential etching of multilayer stacks
US8946023B2 (en) 2013-03-12 2015-02-03 Sandisk Technologies Inc. Method of making a vertical NAND device using sequential etching of multilayer stacks
US9099496B2 (en) 2013-04-01 2015-08-04 Sandisk Technologies Inc. Method of forming an active area with floating gate negative offset profile in FG NAND memory
US9093480B2 (en) 2013-04-01 2015-07-28 Sandisk Technologies Inc. Spacer passivation for high aspect ratio etching of multilayer stacks for three dimensional NAND device
US9230980B2 (en) 2013-09-15 2016-01-05 Sandisk Technologies Inc. Single-semiconductor-layer channel in a memory opening for a three-dimensional non-volatile memory device
US9460931B2 (en) 2013-09-17 2016-10-04 Sandisk Technologies Llc High aspect ratio memory hole channel contact formation
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
US9548313B2 (en) * 2014-05-30 2017-01-17 Sandisk Technologies Llc Method of making a monolithic three dimensional NAND string using a select gate etch stop layer
US9768270B2 (en) 2014-06-25 2017-09-19 Sandisk Technologies Llc Method of selectively depositing floating gate material in a memory device
US9379124B2 (en) * 2014-06-25 2016-06-28 Sandisk Technologies Inc. Vertical floating gate NAND with selectively deposited ALD metal films
KR20160006866A (en) 2014-07-09 2016-01-20 삼성전자주식회사 Semiconductor device and method of manufacturing the same
US9595531B2 (en) 2014-07-11 2017-03-14 Intel Corporation Aluminum oxide landing layer for conductive channels for a three dimensional circuit device
US9570460B2 (en) 2014-07-29 2017-02-14 Sandisk Technologies Llc Spacer passivation for high-aspect ratio opening film removal and cleaning
US9887207B2 (en) 2014-08-18 2018-02-06 Sandisk Technologies Llc Three dimensional NAND device having dummy memory holes and method of making thereof
US9666590B2 (en) 2014-09-24 2017-05-30 Sandisk Technologies Llc High stack 3D memory and method of making
US9263459B1 (en) 2014-09-26 2016-02-16 Intel Corporation Capping poly channel pillars in stacked circuits
KR102244219B1 (en) 2014-09-29 2021-04-27 삼성전자주식회사 Memory device and manufacturing method of the same
US9230979B1 (en) 2014-10-31 2016-01-05 Sandisk Technologies Inc. High dielectric constant etch stop layer for a memory structure
US9397113B2 (en) * 2014-12-23 2016-07-19 Macronix International Co., Ltd. Memory architecture of array with single gate memory devices
US9496169B2 (en) * 2015-02-12 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure having an air gap and structure thereof
US9530788B2 (en) 2015-03-17 2016-12-27 Sandisk Technologies Llc Metallic etch stop layer in a three-dimensional memory structure
US9799671B2 (en) 2015-04-07 2017-10-24 Sandisk Technologies Llc Three-dimensional integration schemes for reducing fluorine-induced electrical shorts
US9627403B2 (en) 2015-04-30 2017-04-18 Sandisk Technologies Llc Multilevel memory stack structure employing support pillar structures
WO2016178263A1 (en) * 2015-05-01 2016-11-10 株式会社 東芝 Semiconductor memory device
US9613977B2 (en) 2015-06-24 2017-04-04 Sandisk Technologies Llc Differential etch of metal oxide blocking dielectric layer for three-dimensional memory devices
US9530785B1 (en) 2015-07-21 2016-12-27 Sandisk Technologies Llc Three-dimensional memory devices having a single layer channel and methods of making thereof
US9853043B2 (en) 2015-08-25 2017-12-26 Sandisk Technologies Llc Method of making a multilevel memory stack structure using a cavity containing a sacrificial fill material
US9502471B1 (en) 2015-08-25 2016-11-22 Sandisk Technologies Llc Multi tier three-dimensional memory devices including vertically shared bit lines
US9935121B2 (en) * 2015-09-10 2018-04-03 Toshiba Memory Corporation Three dimensional vertical channel semiconductor memory device
US9659955B1 (en) 2015-10-28 2017-05-23 Sandisk Technologies Llc Crystalinity-dependent aluminum oxide etching for self-aligned blocking dielectric in a memory structure
KR102485088B1 (en) 2015-11-10 2023-01-05 삼성전자주식회사 Vertical memory devices and methods of manufacturing the same
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
KR102559229B1 (en) 2016-03-04 2023-07-26 에스케이하이닉스 주식회사 Semiconductor device and manufacturing method thereof
US10121794B2 (en) 2016-06-20 2018-11-06 Sandisk Technologies Llc Three-dimensional memory device having epitaxial germanium-containing vertical channel and method of making thereof
US10134752B2 (en) 2016-06-22 2018-11-20 Samsung Electronics Co., Ltd. Memory device
US9978768B2 (en) 2016-06-29 2018-05-22 Sandisk Technologies Llc Method of making three-dimensional semiconductor memory device having laterally undulating memory films
US20180019298A1 (en) * 2016-07-18 2018-01-18 Raytheon Company METHOD FOR FORMING PATTERNED TANTALUM NITRIDE (TaN) RESISTORS ON DIELECTRIC MATERIAL PASSIVATION LAYERS
US9673216B1 (en) 2016-07-18 2017-06-06 Sandisk Technologies Llc Method of forming memory cell film
US9805805B1 (en) * 2016-08-23 2017-10-31 Sandisk Technologies Llc Three-dimensional memory device with charge carrier injection wells for vertical channels and method of making and using thereof
JP6419762B2 (en) * 2016-09-06 2018-11-07 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
US9881929B1 (en) 2016-10-27 2018-01-30 Sandisk Technologies Llc Multi-tier memory stack structure containing non-overlapping support pillar structures and method of making thereof
US10056399B2 (en) 2016-12-22 2018-08-21 Sandisk Technologies Llc Three-dimensional memory devices containing inter-tier dummy memory cells and methods of making the same
JP2018148142A (en) * 2017-03-08 2018-09-20 東芝メモリ株式会社 Semiconductor device manufacturing method
US9922987B1 (en) * 2017-03-24 2018-03-20 Sandisk Technologies Llc Three-dimensional memory device containing separately formed drain select transistors and method of making thereof
US20180331117A1 (en) 2017-05-12 2018-11-15 Sandisk Technologies Llc Multilevel memory stack structure with tapered inter-tier joint region and methods of making thereof
US10438964B2 (en) 2017-06-26 2019-10-08 Sandisk Technologies Llc Three-dimensional memory device having direct source contact and metal oxide blocking dielectric and method of making thereof
CN111183521B (en) 2017-08-29 2024-01-16 美光科技公司 Apparatus and system with string driver comprising high bandgap material and method of forming
CN107507831B (en) * 2017-08-31 2019-01-25 长江存储科技有限责任公司 A kind of memory cell structure and forming method thereof of 3D nand memory
CN107527920A (en) * 2017-08-31 2017-12-29 长江存储科技有限责任公司 A kind of 3D nand memories and its manufacture method
JP6948892B2 (en) * 2017-09-19 2021-10-13 キオクシア株式会社 Semiconductor storage device
KR102521282B1 (en) * 2017-10-12 2023-04-14 에스케이하이닉스 주식회사 Semiconductor device and manufacturing method thereof
US10510738B2 (en) 2018-01-17 2019-12-17 Sandisk Technologies Llc Three-dimensional memory device having support-die-assisted source power distribution and method of making thereof
US10283493B1 (en) 2018-01-17 2019-05-07 Sandisk Technologies Llc Three-dimensional memory device containing bonded memory die and peripheral logic die and method of making thereof
US10290643B1 (en) * 2018-01-22 2019-05-14 Sandisk Technologies Llc Three-dimensional memory device containing floating gate select transistor
US10756186B2 (en) 2018-04-12 2020-08-25 Sandisk Technologies Llc Three-dimensional memory device including germanium-containing vertical channels and method of making the same
KR102598728B1 (en) * 2018-04-12 2023-11-07 에스케이하이닉스 주식회사 Manufacturing method of semiconductor device
US10381322B1 (en) 2018-04-23 2019-08-13 Sandisk Technologies Llc Three-dimensional memory device containing self-aligned interlocking bonded structure and method of making the same
KR102608833B1 (en) 2018-06-07 2023-12-04 에스케이하이닉스 주식회사 Manufacturing method of semiconductor device
US10950497B2 (en) * 2018-11-26 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Electrical connection for semiconductor devices
US11101284B2 (en) 2018-12-18 2021-08-24 Sandisk Technologies Llc Three-dimensional memory device containing etch stop structures and methods of making the same
JP2020113724A (en) 2019-01-17 2020-07-27 キオクシア株式会社 Semiconductor device
US10879260B2 (en) 2019-02-28 2020-12-29 Sandisk Technologies Llc Bonded assembly of a support die and plural memory dies containing laterally shifted vertical interconnections and methods for making the same
CN110088906B (en) * 2019-03-18 2020-11-17 长江存储科技有限责任公司 High-k dielectric layer in three-dimensional memory device and method of forming the same
CN112189257A (en) * 2019-03-26 2021-01-05 深圳市汇顶科技股份有限公司 Capacitor and manufacturing method thereof
KR20210137533A (en) * 2019-04-12 2021-11-17 양쯔 메모리 테크놀로지스 씨오., 엘티디. Three-dimensional memory device with deposited semiconductor plugs and methods for forming same
WO2020211093A1 (en) * 2019-04-19 2020-10-22 深圳市汇顶科技股份有限公司 Capacitor and manufacturing method therefor
US10727216B1 (en) * 2019-05-10 2020-07-28 Sandisk Technologies Llc Method for removing a bulk substrate from a bonded assembly of wafers
CN110211964B (en) * 2019-06-17 2022-03-18 长江存储科技有限责任公司 3D NAND memory and forming method thereof
US11018152B2 (en) 2019-07-05 2021-05-25 Sandisk Technologies Llc Method for etching bottom punch-through opening in a memory film of a multi-tier three-dimensional memory device
KR20210014440A (en) 2019-07-30 2021-02-09 삼성전자주식회사 Vertical memory devices and methods of manufacturing the same
US11296101B2 (en) 2020-03-27 2022-04-05 Sandisk Technologies Llc Three-dimensional memory device including an inter-tier etch stop layer and method of making the same
CN111801802B (en) * 2020-04-14 2021-08-27 长江存储科技有限责任公司 Three-dimensional memory device
CN111788687B (en) 2020-04-14 2021-09-14 长江存储科技有限责任公司 Method for forming three-dimensional memory device
CN112106199B (en) 2020-07-08 2024-04-16 长江存储科技有限责任公司 Method for forming three-dimensional memory device having channel structure with plum blossom shape
US12010841B2 (en) 2020-12-29 2024-06-11 Sandisk Technologies Llc Method of making a three-dimensional memory device using composite hard masks for formation of deep via openings
US11972954B2 (en) 2020-12-29 2024-04-30 Sandisk Technologies Llc Method of making a three-dimensional memory device using composite hard masks for formation of deep via openings
US20230018394A1 (en) * 2021-07-16 2023-01-19 Sandisk Technologies Llc Three-dimensional memory device including airgap containing insulating layers and method of making the same

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5972722A (en) * 1998-04-14 1999-10-26 Texas Instruments Incorporated Adhesion promoting sacrificial etch stop layer in advanced capacitor structures
US20100148237A1 (en) * 2008-12-17 2010-06-17 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
US20110031547A1 (en) * 2009-08-10 2011-02-10 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US20120001252A1 (en) * 2010-06-30 2012-01-05 Sandisk Corporation Ultrahigh density vertical nand memory device and method of making thereof
US20120146122A1 (en) * 2010-12-14 2012-06-14 Sung Jin Whang 3-d non-volatile memory device and method of manufacturing the same
US20120199897A1 (en) * 2011-02-07 2012-08-09 Sung-Il Chang Three-dimensional semiconductor devices

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100821456B1 (en) 2000-08-14 2008-04-11 샌디스크 쓰리디 엘엘씨 Dense arrays and charge storage devices, and methods for making same
US6953697B1 (en) 2002-10-22 2005-10-11 Advanced Micro Devices, Inc. Advanced process control of the manufacture of an oxide-nitride-oxide stack of a memory device, and system for accomplishing same
US7233522B2 (en) 2002-12-31 2007-06-19 Sandisk 3D Llc NAND memory array incorporating capacitance boosting of channel regions in unselected memory cells and method for operation of same
US7005350B2 (en) 2002-12-31 2006-02-28 Matrix Semiconductor, Inc. Method for fabricating programmable memory array structures incorporating series-connected transistor strings
US7221588B2 (en) 2003-12-05 2007-05-22 Sandisk 3D Llc Memory array incorporating memory cells arranged in NAND strings
US7023739B2 (en) 2003-12-05 2006-04-04 Matrix Semiconductor, Inc. NAND memory array incorporating multiple write pulse programming of individual memory cells and method for operation of same
US7141511B2 (en) * 2004-04-27 2006-11-28 Micron Technology Inc. Method and apparatus for fabricating a memory device with a dielectric etch stop layer
US7177191B2 (en) 2004-12-30 2007-02-13 Sandisk 3D Llc Integrated circuit including memory array incorporating multiple types of NAND string structures
US7535060B2 (en) 2006-03-08 2009-05-19 Freescale Semiconductor, Inc. Charge storage structure formation in transistor with vertical channel region
JP5016832B2 (en) 2006-03-27 2012-09-05 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US7808038B2 (en) 2007-03-27 2010-10-05 Sandisk 3D Llc Method of making three dimensional NAND memory
US7514321B2 (en) 2007-03-27 2009-04-07 Sandisk 3D Llc Method of making three dimensional NAND memory
US7745265B2 (en) 2007-03-27 2010-06-29 Sandisk 3D, Llc Method of making three dimensional NAND memory
US7851851B2 (en) 2007-03-27 2010-12-14 Sandisk 3D Llc Three dimensional NAND memory
US7848145B2 (en) 2007-03-27 2010-12-07 Sandisk 3D Llc Three dimensional NAND memory
US7575973B2 (en) 2007-03-27 2009-08-18 Sandisk 3D Llc Method of making three dimensional NAND memory
KR101226685B1 (en) 2007-11-08 2013-01-25 삼성전자주식회사 Vertical type semiconductor device and Method of manufacturing the same
JP5142692B2 (en) 2007-12-11 2013-02-13 株式会社東芝 Nonvolatile semiconductor memory device
JP2009164485A (en) * 2008-01-09 2009-07-23 Toshiba Corp Nonvolatile semiconductor storage device
JP4802313B2 (en) 2008-08-01 2011-10-26 ニッコー株式会社 Holding device for piezoelectric vibrator
JP5288936B2 (en) 2008-08-12 2013-09-11 株式会社東芝 Nonvolatile semiconductor memory device
KR101478678B1 (en) 2008-08-21 2015-01-02 삼성전자주식회사 Non-volatile memory device and method of fabricating the same
US7994011B2 (en) 2008-11-12 2011-08-09 Samsung Electronics Co., Ltd. Method of manufacturing nonvolatile memory device and nonvolatile memory device manufactured by the method
KR101527192B1 (en) 2008-12-10 2015-06-10 삼성전자주식회사 Non-volatile memory device and method for fabricating the same
KR101495806B1 (en) * 2008-12-24 2015-02-26 삼성전자주식회사 Non-volatile memory device
US20100155818A1 (en) * 2008-12-24 2010-06-24 Heung-Jae Cho Vertical channel type nonvolatile memory device and method for fabricating the same
KR101481104B1 (en) 2009-01-19 2015-01-13 삼성전자주식회사 Nonvolatile memory devices and method for fabricating the same
KR101616089B1 (en) 2009-06-22 2016-04-28 삼성전자주식회사 Three dimensional semiconductor memory device
JP2011003833A (en) * 2009-06-22 2011-01-06 Toshiba Corp Nonvolatile semiconductor storage device and method of manufacturing the same
JP4572992B1 (en) 2009-08-31 2010-11-04 ダイキン工業株式会社 Hybrid power supply system
KR101584113B1 (en) 2009-09-29 2016-01-13 삼성전자주식회사 3 Three Dimensional Semiconductor Memory Device And Method Of Fabricating The Same
KR101623547B1 (en) * 2009-12-15 2016-05-23 삼성전자주식회사 Method for manufacturing rewriteable three dimensional memory device
US8349681B2 (en) 2010-06-30 2013-01-08 Sandisk Technologies Inc. Ultrahigh density monolithic, three dimensional vertical NAND memory device
US8198672B2 (en) * 2010-06-30 2012-06-12 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device
US8193054B2 (en) 2010-06-30 2012-06-05 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device and method of making thereof
US8445347B2 (en) 2011-04-11 2013-05-21 Sandisk Technologies Inc. 3D vertical NAND and method of making thereof by front and back side processing
US8860117B2 (en) * 2011-04-28 2014-10-14 Micron Technology, Inc. Semiconductor apparatus with multiple tiers of memory cells with peripheral transistors, and methods
US8933502B2 (en) * 2011-11-21 2015-01-13 Sandisk Technologies Inc. 3D non-volatile memory with metal silicide interconnect
US8878278B2 (en) * 2012-03-21 2014-11-04 Sandisk Technologies Inc. Compact three dimensional vertical NAND and method of making thereof
US8847302B2 (en) 2012-04-10 2014-09-30 Sandisk Technologies Inc. Vertical NAND device with low capacitance and silicided word lines
US8828884B2 (en) 2012-05-23 2014-09-09 Sandisk Technologies Inc. Multi-level contact to a 3D memory array and method of making
US9064970B2 (en) 2013-03-15 2015-06-23 Micron Technology, Inc. Memory including blocking dielectric in etch stop tier
US9276011B2 (en) 2013-03-15 2016-03-01 Micron Technology, Inc. Cell pillar structures and integrated flows

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5972722A (en) * 1998-04-14 1999-10-26 Texas Instruments Incorporated Adhesion promoting sacrificial etch stop layer in advanced capacitor structures
US20100148237A1 (en) * 2008-12-17 2010-06-17 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
US20110031547A1 (en) * 2009-08-10 2011-02-10 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US20120001252A1 (en) * 2010-06-30 2012-01-05 Sandisk Corporation Ultrahigh density vertical nand memory device and method of making thereof
US20120146122A1 (en) * 2010-12-14 2012-06-14 Sung Jin Whang 3-d non-volatile memory device and method of manufacturing the same
US20120199897A1 (en) * 2011-02-07 2012-08-09 Sung-Il Chang Three-dimensional semiconductor devices

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
T. ENDOH: "Novel Ultra High Density Memory With A Stacked-Surrounding Gate Transistor (S-SGT) Structured Cell", IEDM PROC., 2001, pages 33 - 36, XP001075490

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3316316A1 (en) * 2016-10-17 2018-05-02 Semiconductor Manufacturing International Corporation (Beijing) A flash memory device and manufacture thereof
US11276698B2 (en) 2016-10-17 2022-03-15 Semiconductor Manufacturing International (Beijing) Corporation Flash memory device and manufacture thereof

Also Published As

Publication number Publication date
EP2885815A1 (en) 2015-06-24
KR20150044878A (en) 2015-04-27
US8614126B1 (en) 2013-12-24
CN104520992A (en) 2015-04-15
US20140054670A1 (en) 2014-02-27
US9431409B2 (en) 2016-08-30
KR101991147B1 (en) 2019-06-19

Similar Documents

Publication Publication Date Title
US8614126B1 (en) Method of making a three-dimensional memory array with etch stop
US9449984B2 (en) Vertical NAND device with low capacitance and silicided word lines
US9437606B2 (en) Method of making a three-dimensional memory array with etch stop
EP3686930B1 (en) Three-dimensional memory device containing separately formed drain select transistors and method of making thereof
US10700086B2 (en) Three-dimensional flat NAND memory device having high mobility channels and methods of making the same
KR101921355B1 (en) 3d vertical nand and method of making thereof by front and back side processing
EP3218931B1 (en) Three dimensional nand device having reduced wafer bowing and method of making thereof
US9093480B2 (en) Spacer passivation for high aspect ratio etching of multilayer stacks for three dimensional NAND device
EP3183747B1 (en) Metal word lines for three dimensional memory devices
EP2589070B1 (en) Ultrahigh density vertical nand memory device and method of making thereof
CN109256390B (en) Vertical memory device
EP3642876A1 (en) Three-dimensional memory device including vertically offset drain select level layers and method of making thereof
KR20170028871A (en) Three dimensional nand device having a wavy charge storage layer
WO2017091274A1 (en) Within array replacement openings for a three-dimensional memory device
WO2013176960A2 (en) Multi-level contact to a 3d memory array and method of making
WO2016025192A1 (en) Three dimensional nand string with discrete charge trap segments
WO2014011415A1 (en) Three dimensional nand device and method of charge trap layer separation and floating gate formation in the nand device
WO2013141968A1 (en) Compact three dimensional vertical nand and method of making thereof
WO2016003638A1 (en) Methods of making three dimensional nand devices
KR20180124207A (en) Method for fabricating three-dimensional semiconductor devices
EP4049314A1 (en) Three-dimensional memory device including backside trench support structures and methods of forming the same
KR102550414B1 (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13739909

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20157002084

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

REEP Request for entry into the european phase

Ref document number: 2013739909

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2013739909

Country of ref document: EP